The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "EURO-DAC"( http://dblp.L3S.de/Venues/EURO-DAC )

URL (DBLP): http://dblp.uni-trier.de/db/conf/eurodac

Publication years (Num. hits)
1990 (121) 1991 (101) 1992 (121) 1993 (91) 1994 (107) 1995 (94) 1996 (87)
Publication types (Num. hits)
inproceedings(715) proceedings(7)
Venues (Conferences, Journals, ...)
EURO-DAC(722)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 94 occurrences of 55 keywords

Results
Found 722 publication records. Showing 722 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Gerhard H. Büttner Setting up a retrieval system for design reuse - experiences and acceptance. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Frank Vahid Procedure exlining: a new system-level specification transformation. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Wolfgang Ecker A classification of design steps and their verification. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Peter Oehler, Christoph Grimm 0001, Klaus Waldschmidt KANDIS - a tool for construction of mixed analog/digital systems. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Habib Youssef, Sadiq M. Sait, Khalid J. Al-Farra Timing influenced force directed floorplanning. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Cristian A. Giumale, Hilary J. Kahn A core information model of VHDL. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Hannes C. Wittmann, Manfred Henftling Path delay ATPG for standard scan design. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Hans Achatz Generating several solutions for the scheduling problem in high-level synthesis. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Tianxiong Xue, Ernest S. Kuh Post routing performance optimization via tapered link insertion and wiresizing. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Gerald Musgrave (eds.) Proceedings EURO-DAC'95, European Design Automation Conference with EURO-VHDL, Brighton, England, UK, September 18-22, 1995 Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  BibTeX  RDF
1Petru Eles, Krzysztof Kuchcinski, Zebo Peng, Alexa Doboli Timing constraint specification and synthesis in behavioral VHDL. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Alexander N. Soloviev, Alexander L. Stempkovsky Model of conceptual design of complex electronic systems. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Jürgen Schubert, Arno Kunzmann, Wolfgang Rosenstiel Reduced design time by load distribution with CAD framework methodology information. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Rainer Schlör, Franz Korf Verification of a production cell controller using symbolic timing diagrams. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Wolfgang Ecker Semi-dynamic scheduling of synchronization-mechanisms. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Frank Vahid, Daniel D. Gajski Closeness metrics for system-level functional partitioning. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Santhanam Srinivasan, Niraj K. Jha Hardware-software co-synthesis of fault-tolerant real-time distributed embedded systems. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Clay Gloster, Franc Brglez Partial scan selection for user-specified fault coverage. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Flávio Rech Wagner Design management requirements for hardware description languages. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Armin Englmaier Mesh current method for computing the current distribution in planar conductor surfaces and possible applications in circuit simulation. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Katsuhiko Shirai, Jin Hiwatashi A design system for special purpose processors based on architectures for distributed processing. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Ansgar Bredenfeld Cooperative concurrency control for design environments. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1E. Leroux, Flavio G. Canavero, G. Vecchi Prediction of radiated electromagnetic emissions from PCB traces based on green dyadics. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Andrew A. Duncan, David C. Hendry Area efficient DSP datapath synthesis. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Makarand Joshi, Hideaki Kobayashi Quantifying design productivity: an effort distribution analysis. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF design productivity, effort-distribution, graphical HDL, textual HDL, human interaction, ISO 9000, design resources, design quality
1Konstantin O. Petrosjanc, Igor A. Kharitonov, N. I. Rybov, Peter P. Maltcev Software system for semiconductor devices, monolith and hybrid ICs thermal analysis. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Steve Hodgson, Zak Shaar, Andy Smith A high performance VHDL simulator for large systems design. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Gernot Koch, Udo Kebschull, Wolfgang Rosenstiel Debugging of behavioral VHDL specifications by source level emulation. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Maher Rahmouni, Ahmed Amine Jerraya Formulation and evaluation of scheduling techniques for control flow graphs. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Markus Schwiegershausen, Peter Pirsch A formal approach for the optimization of heterogeneous multiprocessors for complex image processing schemes. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Jean Michel Daga, Michel Robert, Daniel Auvergne Delay modelling improvement for low voltage applications. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Victor V. Toporkov Performance-complexity analysis in hardware-software codesign for real-time systems. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Thomas Gabler, Sabine März-Rössel An approach to guided incremental specification. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Ronald B. Stewart LibQA - library quality assurance for VHDL synthesis and simulation. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Jan Andersson A DSP ASIC design flow based on VHDL and ASIC-emulation. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1M. M. Kamal Hashmi, Alistair C. Bruce Design and use of a system-level specification and verification methodology. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Peter Conradi Information model of a compound graph representation for system and architecture level design. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Pierre G. Paulin, Jean Fréhel, Michel Harrand, Elisabeth Berrebi, Clifford Liem, François Naçabal, Jean-Claude Herluison High-level synthesis and codesign methods: an application to a videophone codec. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Polen Kission, Hong Ding, Ahmed Amine Jerraya VHDL based design methodology for hierarchy and component re-use. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Sidharta Mohanty, Philip A. Wilsey System modeling, hardware-software codesign, and mixed modeling with hardware description language. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Ludwig Schwoerer, Matthias Lück, Hartmut Schröder Integration of VHDL into a system design environment. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Udo Jorczyk, Wilfried Daehn, Oliver Neumann Fault modeling of differential ECL. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Markus Schütz How to efficiently build VHDL testbenches. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Irith Pomeranz, Sudhakar M. Reddy On generating compact test sequences for synchronous sequential circuits. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Naveen Buddi, Malgorzata Chrzanowska-Jeske, Charles L. Saxe Layout synthesis for datapath designs. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF routing, placement, layout, channel, datapath, bit-slice
1Luc Rijnders, Zohair Sahraoui, Paul Six, Hugo De Man Timing optimization by bit-level arithmetic transformations. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Vladimir B. Dmitriev-Zdorov, Bernhard Klaassen An improved relaxation approach for mixed system analysis with several simulation tools. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Joris van den Hurk, Edwin Dilling System level design, a VHDL based approach. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Thomas Benner, Rolf Ernst, Achim Österling Scalable performance scheduling for hardware-software cosynthesis. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Alicja Pierzynska, Slawomir Pilarski Quality considerations in delay fault testing. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Lars W. Hagen, Dennis J.-H. Huang, Andrew B. Kahng On implementation choices for iterative improvement partitioning algorithms. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1John Willis, Zhiyuan Li 0001, Tsang-Puu Lin Use of embedded scheduling to compile VHDL for effective parallel simulation. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Vincent Moser, Hans Peter Amann, Pascal Nussbaum, Fausto Pellandini Generating VHDL-A - like models using ABSynth. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1D. Wagenblasst, Wolfgang Thronicke An approach for classification of integrated circuits by a knowledge conserving library concept. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Wolfgang Ecker, Manfred Huber VHDL-based communication and synchronization synthesis. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Karlheinz Agsteiner, Dieter Monjau, Sören Schulze Object-oriented high-level modeling of system components for the generation of VHDL code. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1J. Forrest ODE: output direct state machine encoding. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Zohair Sahraoui, Paul Six, Ivo Bolsens, Hugo De Man Search space reduction through clustering in test generation. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Andrea Finotello, Maurizio Paolini The VHDL based design of the MIDA MPEG1 audio decoder. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Ronald Herrmann, Thomas Reielts Verification of a production cell using an automatic verification environment for VHDL. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Carol A. Fields Creating hierarchy in HDL-based high density FGPA design. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Juha-Pekka Soininen, Tuomo Huttunen, Kari Tiensyrjä, Hannu Heusala Cosimulation of real-time control systems. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Jerzy J. Dabrowski Functional-level analog macromodeling with piecewise linear signals. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Naveen Ramineni, Malgorzata Chrzanowska-Jeske, Naveen Buddi Tree restructuring approach to mapping problem in cellular-architecture FPGAs. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Stefan Schmerler, Yankin Tanurhan, Klaus D. Müller-Glaser A backplane approach for cosimulation in high-level system specification environments. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Mohamed Romdhani, P. Chambert, Alain Jeffroy, Pierre de Chazelles, Ahmed Amine Jerraya Composing ActivityCharts/StateCharts, SDL and SAO specifications for codesign in avionics. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Nguyen-Ngoc Bình, Masaharu Imai, Nobuyuki Hikichi A hardware/software partitioning algorithm for pipelined instruction set processor. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Vigyan Singhal, Carl Pixley, Adnan Aziz, Robert K. Brayton Exploiting power-up delay for sequential optimization. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Zahir Moosa, Douglas Edwards An investigation of iterative routing algorithms. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1James Sienicki, Michael L. Bushnell, Prathima Agrawal, Vishwani D. Agrawal An adaptive distributed algorithm for sequential circuit test generation. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Paul Vanoostende, Geert van Wauwe Issues in low-power design for telecom. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Uwe Gläser, Heinrich Theodor Vierhaus FOGBUSTER: an efficient algorithm for sequential test generation. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Manfred Henftling, Hannes C. Wittmann, Kurt Antreich A formal non-heuristic ATPG approach. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Rafael Peset Llopis Path sensitization of combinational circuits and its impact on clocking of sequential systems. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Gerald Spiegel, Albrecht P. Stroele A unified approach to the extraction of realistic multiple bridging and break faults. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Guido Schumacher, Wolfgang Nebel Inheritance concept for signals in object-oriented extensions to VHDL. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Srimat T. Chakradhar, Anand Raghunathan Bottleneck removal algorithm for dynamic compaction and test cycles reduction. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Gianpiero Cabodi, Stefano Quer, Paolo Camurati Computing subsets of equivalence classes for large FSMs. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Peter T. Breuer, Natividad Martínez Madrid A native process algebra for VHDL. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Mirella Mastretti VHDL quality: synthesizability, complexity and efficiency evaluation. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Viktor Preis, Renate Henftling, Markus Schütz, Sabine März-Rössel A reuse scenario for the VHDL-based hardware design flow. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Flávio Rech Wagner, Lia Goldstein Golendziner, Miguel Rodrigues Fornari A tightly coupled approach to design and data management. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
1Koen Van Nieuwenhove, Kjell Cools, D. Devisch, Ivo Bolsens, Serge Vernalde, Kim Chansik, R. B. W. Lee, Oh Younguk ASIC synthesis of a flexible 80 Mbit/s Reed-Solomon Codec. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  BibTeX  RDF DFL
1Olav Schettler Design tool encapsulation - all problems solved? Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF VHDL
1Loïc Vandeventer, Jean François Santucci Speeding up test pattern generation from behavioral VHDL descriptions containing several processes. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF VHDL
1Petru Eles, Marius Minea, Krzysztof Kuchcinski, Zebo Peng Synthesis of VHDL concurrent processes. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF VHDL
1Marek A. Perkowski, Philip Ho Free Kronecker decision diagrams and their application to Atmel 6000 series FPGA mapping. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
1Rolf Drechsler, Bernd Becker 0001, Michael Theobald Fast OFDD based minimization of fixed polarity Reed-Muller expressions. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
1Jari Toivanen, Jari Honkola, Jari Nurmi, Jyrki Tuominen A VHDL-based bus model for multi-PCB system design. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  BibTeX  RDF VHDL
1Jan Madsen, Jens P. Brage Modeling shared variables in VHDL. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  BibTeX  RDF VHDL
1Juan Carlos Calderón, Enric Corominas, José M. Tapia, Luis París Implementation of a SDH STM-N IC for B-ISDN using VHDL based synthesis tools. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  BibTeX  RDF VHDL
1France Mendez VHDL and cyclic corrector codes. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  BibTeX  RDF VHDL
1Christopher A. Ryan, Joseph G. Tront VHDL switch level fault simulation. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF VHDL
1Michael Held, Manfred Glesner Generating compilers for generated datapaths. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF VHDL
1Stefan Lenk 0001 Extended timing diagrams as a specification language. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
1Mario Stefanoni Static analysis for VHDL model evaluation. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF VHDL
1Sayed Mohammad Kia, Sri Parameswaran Design automation of self checking circuits. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF VHDL
1Michael J. Alexander, James P. Cohoon, Joseph L. Ganley, Gabriel Robins An architecture-independent approach to FPGA routing based on multi-weighted graphs. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
1Konstantin O. Petrosjanc, Peter P. Maltcev Mixed electrical-thermal and electrical-mechanical simulation of electromechatronic systems using PSpice. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  BibTeX  RDF
1Vladimir B. Dmitriyev-Zdorov Multilevel generalization of relaxation algorithms for circuit simulation. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  BibTeX  RDF
Displaying result #101 - #200 of 722 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license