The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for FSMs with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1983-1991 (24) 1992-1993 (15) 1994-1995 (22) 1996-1997 (32) 1998 (18) 1999 (16) 2000 (16) 2001-2002 (25) 2003 (20) 2004 (22) 2005 (22) 2006 (20) 2007 (22) 2008 (16) 2009-2010 (17) 2011-2015 (15) 2016-2018 (19) 2019-2020 (16) 2021-2022 (15) 2023-2024 (7)
Publication types (Num. hits)
article(99) incollection(1) inproceedings(279)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 376 occurrences of 244 keywords

Results
Found 379 publication records. Showing 379 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
23Doron Drusinsky-Yoresh Symbolic cover minimization of fully I/O specified finite state machines. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
23Siegfried I. Mensch, Hans Martin Lipp Fuzzy specification of finite state machines. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
23Abhijit Ghosh, Srinivas Devadas, A. Richard Newton Verification of Interacting Sequential Circuits. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
23Alexandre Bronstein, Carolyn L. Talcott Formal Verification of Synchronous Circuits based on String-Functional Semantics: The 7 Paillet Circuits in Boyer-Moore. Search on Bibsonomy Automatic Verification Methods for Finite State Systems The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
21Alexander Barkalov 0001, Larysa Titarenko, Kamil Mielcarek, Malgorzata Mazurkiewicz Hardware Reduction for FSMs With Extended State Codes. Search on Bibsonomy IEEE Access The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
21Yongyi Yan, Can Wang, Jumei Yue Transform Mechanism Between PLMs and Scalars: An Answer to the Open Question on Extension of Method for Reducing Storage Complexity of FSMs. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
21Uraz Cengiz Türker, Robert M. Hierons, Gerassimos D. Barlas, Khaled El-Fakih Incomplete Adaptive Distinguishing Sequences for Non-Deterministic FSMs. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
21Khalil Esper, Stefan Wildermann, Jürgen Teich Automatic Synthesis of FSMs for Enforcing Non-functional Requirements on MPSoCs Using Multi-objective Evolutionary Algorithms. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
21Megan Charity, Dipika Rajesh, Sam Earle, Julian Togelius Amorphous Fortress: Observing Emergent Behavior in Multi-Agent FSMs. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
21Natalia Kushik, Nina Yevtushenko 0001, Jorge López Probabilistic Approach for Minimizing Checking Sequences for Non-deterministic FSMs. Search on Bibsonomy ICTSS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
21Justin Deters, Peyton Gozon, Max Camp-Oberhauser, Ron K. Cytron Feature-Oriented FSMs for FPGAs. Search on Bibsonomy HPEC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
21Alexander Barkalov 0001, Larysa Titarenko, Malgorzata Mazurkiewicz Improving the LUT Count for Mealy FSMS with Transformation of Output Collections. Search on Bibsonomy Int. J. Appl. Math. Comput. Sci. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
21Alexander Barkalov 0001, Larysa Titarenko, Slawomir Chmielewski, Kamil Mielcarek Improving Characteristics of FSMs With Mixed Codes of Outputs. Search on Bibsonomy IEEE Access The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
21Alexander A. Barkalov, Larysa Titarenko, Kamil Mielcarek Reducing LUT Count for Mealy FSMs With Transformation of States. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
21Nina Yevtushenko 0001, Victor V. Kuliamin, Natalia Kushik Evaluating the complexity of deriving adaptive S'-homing and S'-synchronizing sequences for nondeterministic FSMs. Search on Bibsonomy Softw. Qual. J. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
21Mike Borowczak, Ranga Vemuri S*FSMs for Reduced Information Leakage: Power Side Channel Protection Through Secure Encoding. Search on Bibsonomy Behavioral Synthesis for Hardware Security The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
21Khalil Esper, Stefan Wildermann, Jürgen Teich Multi-Requirement Enforcement of Non-Functional Properties on MPSoCs Using Enforcement FSMs - A Case Study. Search on Bibsonomy NG-RES@HiPEAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
21Khaled El-Fakih, Robert M. Hierons, Uraz Cengiz Türker $\mathcal K$K-Branching UIO Sequences for Partially Specified Observable Non-Deterministic FSMs. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
21Yoshinao Isobe, Nobuhiko Miyamoto, Noriaki Ando, Yutaka Oiwa Formal Modeling and Verification of Concurrent FSMs: Case Study on Event-Based Cooperative Transport Robots. Search on Bibsonomy IEICE Trans. Inf. Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
21Khalil Esper, Stefan Wildermann, Jürgen Teich Enforcement FSMs: specification and verification of non-functional properties of program executions on MPSoCs. Search on Bibsonomy MEMOCODE The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
21Natalia Kushik, Nina Yevtushenko 0001, Jorge López Testing Against Non-deterministic FSMs: A Probabilistic Approach for Test Suite Minimization. Search on Bibsonomy ICTSS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
21Evgenii M. Vinarskii, Aleksandr S. Tvardovskii, Nina Yevtushenko 0001 Adaptive Homing Sequences for Partial Weakly-initialized Observable FSMs. Search on Bibsonomy EWDTS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
21Muhtadi Choudhury, Domenic Forte, Shahin Tajik PATRON: A Pragmatic Approach for Encoding Laser Fault Injection Resistant FSMs. Search on Bibsonomy DATE The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
21Hideyuki Ichihara, Takayuki Fukuda, Tomoo Inoue A Design of Reliable Linear FSMs with Equivalent States in Stochastic Computing. Search on Bibsonomy DFT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
21Alexander Barkalov 0001, Larysa Titarenko, Kamil Mielcarek Improving characteristics of LUT-based Mealy FSMs. Search on Bibsonomy Int. J. Appl. Math. Comput. Sci. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
21Kaya Oguz True scores for tartarus with adaptive GAs that evolve FSMs on GPU. Search on Bibsonomy Inf. Sci. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
21Alexander Barkalov 0001, Larysa Titarenko, Slawomir Chmielewski Improving Characteristics of LUT-Based Moore FSMs. Search on Bibsonomy IEEE Access The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
21Evgenii M. Vinarskii, Nina Yevtushenko 0001 Evaluating Length of a Shortest Adaptive Homing Sequence for Weakly Initialized FSMs. Search on Bibsonomy EWDTS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
21Marcin Kubica, Dariusz Kania, Józef Kulisz A Technology Mapping of FSMs Based on a Graph of Excitations and Outputs. Search on Bibsonomy IEEE Access The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
21Chao Peng, Haibo Zeng 0001, Marco Di Natale A comparison of schedulability analysis methods using state and digraph models for the schedulability analysis of synchronous FSMs. Search on Bibsonomy Real Time Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
21Alexander Barkalov 0001, Larysa Titarenko, Slawomir Chmielewski Mixed Encoding of Collections of Output Variables for LUT-Based Mealy FSMs. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
21Jeroen Delvaux Machine-Learning Attacks on PolyPUFs, OB-PUFs, RPUFs, LHS-PUFs, and PUF-FSMs. Search on Bibsonomy IEEE Trans. Inf. Forensics Secur. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
21Miguel Benito-Parejo, Inmaculada Medina-Bulo, Mercedes G. Merayo, Manuel Núñez 0001 Using Genetic Algorithms to Generate Test Suites for FSMs. Search on Bibsonomy IWANN (1) The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
21Nina Yevtushenko 0001, Victor V. Kuliamin, Natalia Kushik Evaluating the Complexity of Deriving Adaptive Homing, Synchronizing and Distinguishing Sequences for Nondeterministic FSMs. Search on Bibsonomy ICTSS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
21Evgenii M. Vinarskii, Aleksandr S. Tvardovskii, Larisa Evtushenko, Nina Yevtushenko 0001 Deriving adaptive homing sequences for weakly initialized nondeterministic FSMs. Search on Bibsonomy EWDTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
21Mariana Soller Ramada, Telma Woerle de Lima, Anderson da Silva Soares, Adenilso Simão Generating Reduced Tests for FSMs using a Search-Based Testing Approach. Search on Bibsonomy ICTAI The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
21Alexander Barkalov 0001, Larysa Titarenko, Kamil Mielcarek, Kazimierz Krzywicki, Wojciech Zajac Decreasing Number of LUTs for Moore FSMs. Search on Bibsonomy MIXDES The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
21Alexander Barkalov 0001, Larysa Titarenko, Kamil Mielcarek Designing FPGA-Based Mealy FSMs with Two Levels of Logic. Search on Bibsonomy MOCAST The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
21Alexander Barkalov 0001, Larysa Titarenko, Slawomir Chmielewski, Kamil Mielcarek Design of FPGA-Based Mealy FSMs with Counters. Search on Bibsonomy MOCAST The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
21Alexander Barkalov 0001, Larysa Titarenko, Malgorzata Mazurkiewicz, Kamil Mielcarek Encoding of Microoperations in FPGA-Based Moore FSMs. Search on Bibsonomy MOCAST The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
21Alexander Barkalov 0001, Larysa Titarenko, Kamil Mielcarek Hardware Reduction for Lut-Based Mealy FSMs. Search on Bibsonomy Int. J. Appl. Math. Comput. Sci. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
21Omer Nguena-Timo, Alexandre Petrenko, S. Ramesh 0002 Checking Sequence Generation for Symbolic Input/Output FSMs by Constraint Solving. Search on Bibsonomy ICTAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
21Roland Groz, Nicolas Brémond, Adenilso Simão Using Adaptive Sequences for Learning Non-Resettable FSMs. Search on Bibsonomy ICGI The full citation details ... 2018 DBLP  BibTeX  RDF
21Cezary Zielinski, Maksym Figat, René Hexel Robotic Systems Implementation Based on FSMs. Search on Bibsonomy AUTOMATION The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
21Alexander Barkalov 0001, Larysa Titarenko, Kamil Mielcarek Twofold State Assignment for LUT-based Mealy FSMs. Search on Bibsonomy MIXDES The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
21Alexander Barkalov 0001, Larysa Titarenko, Kamil Mielcarek, Slawomir Chmielewski Design of FPGA-based Mealy FSMs with Counters. Search on Bibsonomy MIXDES The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
21Alexander Barkalov 0001, Larysa Titarenko, Malgorzata Mazurkiewicz, Kamil Mielcarek Encoding of Terms in LUT-based Mealy FSMs. Search on Bibsonomy MIXDES The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
21Alexander Barkalov 0001, Larysa Titarenko, Kamil Mielcarek Twofold state assignment for FPGA-based mealy FSMs. Search on Bibsonomy MOCAST The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
21Alexander Barkalov 0001, Larysa Titarenko, Slawomir Chmielewski Design of CPLD-based mealy FSMs with counters. Search on Bibsonomy MOCAST The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
21Hüsnü Yenigün, Nina Yevtushenko 0001, Natalia Kushik The complexity of checking the existence and derivation of adaptive synchronizing experiments for deterministic FSMs. Search on Bibsonomy Inf. Process. Lett. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
21Malgorzata Kolopienczyk, Larysa Titarenko, Alexander Barkalov 0001 Design of EMB-Based Moore FSMs. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
21Robert M. Hierons, Uraz Cengiz Türker Parallel Algorithms for Generating Distinguishing Sequences for Observable Non-deterministic FSMs. Search on Bibsonomy ACM Trans. Softw. Eng. Methodol. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
21Alexander Barkalov 0001, Larysa Titarenko, Jacek Bieganowski Designing HFPGA-based FSMs with counters. Search on Bibsonomy MIXDES The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
21Kamil Mielcarek, Alexander Barkalov 0001, Larysa Titarenko Designing HFPGA-based Mealy FSMs with transformation of output functions. Search on Bibsonomy MIXDES The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
21Alexander Barkalov 0001, Larysa Titarenko, Jacek Bieganowski Code sharing in CPLD-based Moore FSMs. Search on Bibsonomy MOCAST The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
21Robert Czerwinski, Dariusz Kania State Assignment and Optimization of Ultra-High-Speed FSMs Utilizing Tristate Buffers. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
21Natalia Kushik, Nina Yevtushenko 0001, Hüsnü Yenigün Reducing the Complexity of Checking the Existence and Derivation of Adaptive Synchronizing Experiments for Nondeterministic FSMs. Search on Bibsonomy AMARETTO@MODELSWARD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
21Armita Abedijaberi, Jennifer L. Leopold FSMS: A Frequent Subgraph Mining Algorithm Using Mapping Sets. Search on Bibsonomy MLDM The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
21Adib Nahiyan, Kan Xiao, Kun Yang, Yier Jin, Domenic Forte, Mark M. Tehranipoor AVFSM: a framework for identifying and mitigating vulnerabilities in FSMs. Search on Bibsonomy DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
21Alexandre Petrenko, Adenilso Simão Generalizing the DS-Methods for Testing Non-Deterministic FSMs. Search on Bibsonomy Comput. J. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
21Mike Borowczak, Ranga Vemuri Enabling Side Channel Secure FSMs in the Presence of Low Power Requirements. Search on Bibsonomy ISVLSI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
21Fu-Chiung Cheng, Yuan-Feng Chen, Shu-Chuan Huang, Ching-Yang Huang Synthesis of QDI FSMs from Synchronous Specifications. Search on Bibsonomy ASYNC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
21Robert M. Hierons, Uraz Cengiz Türker Distinguishing Sequences for Partially Specified FSMs. Search on Bibsonomy NASA Formal Methods The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
21Piotr Szotkowski, Mariusz Rawski, Pawel Tomaszewicz Using Symbolic Functional Decomposition to Implement FSMs in Heterogenous FPGAs. Search on Bibsonomy ICSEng The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
21Malgorzata Kolopienczyk, Alexander Barkalov 0001, Larysa Titarenko Hardware reduction for RAM-based Moore FSMs. Search on Bibsonomy HSI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
21Lun-Yao Wang, Zhufei Chu, Yinshui Xia Low Power State Assignment Algorithm for FSMs Considering Peak Current Optimization. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
21Natalja Kehl, Wolfgang Rosenstiel Circuit Level Concurrent Error Detection in FSMs. Search on Bibsonomy J. Electron. Test. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
21Alexandra Coman, Héctor Muñoz-Avila Automated Generation of Diverse NPC-Controlling FSMs Using Nondeterministic Planning Techniques. Search on Bibsonomy AIIDE The full citation details ... 2013 DBLP  BibTeX  RDF
21Stephen Oney, Brad A. Myers, Joel Brandt Euclase: a live development environment with constraints and FSMs. Search on Bibsonomy LIVE@ICSE The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
21Robert Czerwinski, Dariusz Kania Area and speed oriented synthesis of FSMs for PAL-based CPLDs. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
21Adenilso da Silva Simão, Alexandre Petrenko, Nina Yevtushenko 0001 On reducing test length for FSMs with extra states. Search on Bibsonomy Softw. Test. Verification Reliab. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
21Giuseppe Di Guglielmo, Luigi Di Guglielmo, Franco Fummi, Graziano Pravadelli Efficient Generation of Stimuli for Functional Verification by Backjumping Across Extended FSMs. Search on Bibsonomy J. Electron. Test. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
21Alexandre Petrenko, Nina Yevtushenko 0001 Adaptive Testing of Deterministic Implementations Specified by Nondeterministic FSMs. Search on Bibsonomy ICTSS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
21Xiaoqing Jin, Gianfranco Ciardo, Tae-Hyong Kim, Yang Zhao 0011 Symbolic Verification and Test Generation for a Network of Communicating FSMs. Search on Bibsonomy ATVA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
21Ryoichi Inoue, Toshinori Hosokawa, Hideo Fujiwara A Fault Dependent Test Generation Method for State-Observable FSMs to Increase Defect Coverage under the Test Length Constraint. Search on Bibsonomy IEICE Trans. Inf. Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
21E. Akenshina, Natalia Shabaldina, Nina Yevtushenko 0001 Deriving Exhaustive Test Suits for Nondeterministic FSMs w.r.t. Non-separability Relation. Search on Bibsonomy ICST Workshops The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
21Yiqiong Shi, Chan Wai Ting, Bah-Hwee Gwee, Ye Ren A highly efficient method for extracting FSMs from flattened gate-level netlist. Search on Bibsonomy ISCAS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
21Zhen Wang 0001, Mark G. Karpovsky Robust FSMs for cryptographic devices resilient to strong fault injection attacks. Search on Bibsonomy IOLTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
21John M. Espinosa-Duran, Vladimir Trujillo-Olaya, Jaime Velasco-Medina, Raoul Velazco Bit-flip injection strategies for FSMs modeled in VHDL behavioral level. Search on Bibsonomy LATW The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
21Thomas Arts, Simon J. Thompson From test cases to FSMs: augmented test-driven development and property inference. Search on Bibsonomy Erlang Workshop The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
21Li Wen-Xiu, Li Hai-Ning Fuzzy system models (FSMs) for analysis of rock mass displacement caused by underground mining in soft rock strata. Search on Bibsonomy Expert Syst. Appl. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Adenilso da Silva Simão, Alexandre Petrenko, Nina Yevtushenko 0001 Generating Reduced Tests for FSMs with Extra States. Search on Bibsonomy TestCom/FATES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Cao Cao, Bengt Oelmann Low-power state encoding for partitioned FSMs with mixed synchronous/asynchronous state memory. Search on Bibsonomy Integr. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Marcos Paulo Mello Araujo, Nadia Nedjah, Luiza de Macedo Mourelle Logic Synthesis for FSMs Using Quantum Inspired Evolution. Search on Bibsonomy IDEAL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Ryoichi Inoue, Toshinori Hosokawa, Hideo Fujiwara A Test Generation Method for State-Observable FSMs to Increase Defect Coverage under the Test Length Constraint. Search on Bibsonomy ATS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Marcos Paulo Mello Araujo, Nadia Nedjah, Luiza de Macedo Mourelle Optimised State Assignment for FSMs Using Quantum Inspired Evolutionary Algorithm. Search on Bibsonomy ICES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Samara Sudireddy, Jayawant Kakade, Dimitri Kagaris Deterministic Built-in TPG with Segmented FSMs. Search on Bibsonomy IOLTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Yoshisato Sakai A Tableau Construction Approach to Control Synthesis of FSMs Using Simulation Relations. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Franco Fummi, Cristina Marconcini, Graziano Pravadelli, Ian G. Harris A CLP-Based Functional ATPG for Extended FSMs. Search on Bibsonomy MTV The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Tae Bok Yoon, Dong Moon Kim, Kyo Hyeon Park, Jee-Hyong Lee 0001, Kwan-Ho You Game Player Modeling Using D-FSMs. Search on Bibsonomy HCI (9) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Toshinori Hosokawa, Ryoichi Inoue, Hideo Fujiwara Fault-dependent/independent Test Generation Methods for State Observable FSMs. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Tae Bok Yoon, Kyo Hyeon Park, Jee-Hyong Lee 0001, Keon-Myung Lee User Adaptive Game Characters Using Decision Trees and FSMs. Search on Bibsonomy KES-AMSTA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Karnig Derderian, Robert M. Hierons, Mark Harman, Qiang Guo 0001 Automated Unique Input Output Sequence Generation for Conformance Testing of FSMs. Search on Bibsonomy Comput. J. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Andrzej Krasniewski Low-Cost Concurrent Error Detection for FSMs Implemented Using Embedded Memory Blocks of FPGAs. Search on Bibsonomy DDECS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Christoforos N. Hadjicostis Periodic and non-concurrent error detection and identification in one-hot encoded FSMs. Search on Bibsonomy Autom. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Manuel Núñez 0001, Ismael Rodríguez, Fernando Rubio 0001 Applying Occam's Razor to FSMs. Search on Bibsonomy IEEE ICCI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Occam´s razor, Chomsky´s classification, Cognitive Informatics
21Petros Drineas, Yiorgos Makris SPaRe: selective partial replication for concurrent fault-detection in FSMs. Search on Bibsonomy IEEE Trans. Instrum. Meas. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Vadim Trenkaev, Myungchul Kim 0001, Soonuk Seol Interoperability Testing Based on a Fault Model for a System of Communicating FSMs. Search on Bibsonomy TestCom The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Samir Roy, Ujjwal Maulik, Biplab K. Sikdar Exploiting Ghost-FSMs as a BIST Structure for Sequential Machines. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Huawei Li 0001, Yinghua Min, Zhongcheng Li Clustering of behavioral phases in FSMs and its applications to VLSI test. Search on Bibsonomy Sci. China Ser. F Inf. Sci. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 379 (100 per page; Change: )
Pages: [<<][1][2][3][4][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license