The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "HLDVT"( http://dblp.L3S.de/Venues/HLDVT )

URL (DBLP): http://dblp.uni-trier.de/db/conf/hldvt

Publication years (Num. hits)
2000 (29) 2001 (29) 2002 (33) 2003 (28) 2004 (32) 2005 (31) 2006 (33) 2007 (28) 2008 (26) 2009 (29) 2010 (26) 2011 (24) 2012 (25) 2016 (28) 2017 (16)
Publication types (Num. hits)
inproceedings(402) proceedings(15)
Venues (Conferences, Journals, ...)
HLDVT(417)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
No Growbag Graphs found.

Results
Found 417 publication records. Showing 417 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Omid Sarbishei, Yu Pang, Katarzyna Radecka Analysis of range and precision for fixed-point linear arithmetic circuits with feedbacks. Search on Bibsonomy HLDVT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Samar Abdi Automatic generation of host-compiled timed TLMs for high level design. Search on Bibsonomy HLDVT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1John Sanguinetti, Eugene Zhang The relationship of code coverage metrics on high-level and RTL code. Search on Bibsonomy HLDVT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Satrajit Chatterjee, Michael Kishinevsky, Ümit Y. Ogras Quick formal modeling of communication fabrics to enable verification. Search on Bibsonomy HLDVT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Daniel Gomez-Prado, Dusung Kim, Maciej J. Ciesielski, Emmanuel Boutillon Retiming arithmetic datapaths using Timed Taylor Expansion Diagrams. Search on Bibsonomy HLDVT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Nicola Bombieri, Giuseppe Di Guglielmo, Luigi Di Guglielmo, Michele Ferrari, Franco Fummi, Graziano Pravadelli, Francesco Stefanni, Alessandro Venturelli HIFSuite: Tools for HDL code conversion and manipulation. Search on Bibsonomy HLDVT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Nicholas Donataccio, Hao Zheng An improvement in decomposed reachability analysis for symbolic model checking. Search on Bibsonomy HLDVT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Giuseppe Di Guglielmo, Franco Fummi, Graziano Pravadelli, Stefano Soffia, Marco Roveri Semi-formal functional verification by EFSM traversing via NuSMV. Search on Bibsonomy HLDVT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Wolfgang Ecker, Volkan Esen, Rainer Findenig, Thomas Steininger, Michael Velten Model reduction techniques for the formal verification of hardware dependent software. Search on Bibsonomy HLDVT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Alper Sen 0001, Magdy S. Abadir Coverage metrics for verification of concurrent SystemC designs using mutation testing. Search on Bibsonomy HLDVT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Nicola Bombieri, Franco Fummi, Valerio Guarnieri Automatic synthesis of OSCI TLM-2.0 models into RTL bus-based IPs. Search on Bibsonomy HLDVT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Weiwei Chen 0001, Xu Han 0002, Rainer Dömer ESL design and multi-core validation using the System-on-Chip Environment. Search on Bibsonomy HLDVT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Bart Vermeulen, Kees Goossens Obtaining consistent global state dumps to interactively debug systems on chip with multiple clocks. Search on Bibsonomy HLDVT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Maurizio Caramia, Michele Fabiano, Andrea Miele, Roberto Piazza, Paolo Prinetto Automated synthesis of EDACs for FLASH memories with user-selectable correction capability. Search on Bibsonomy HLDVT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Yogesh S. Mahajan, Sharad Malik Utility of transaction-level hardware models in refinement checking. Search on Bibsonomy HLDVT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Wolfgang Müller 0003, Marcio F. da S. Oliveira, Henning Zabel, Markus Becker 0001 Verification of real-time properties for Hardware-dependent Software. Search on Bibsonomy HLDVT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Ming Gao, Kwang-Ting Cheng A case study of Time-Multiplexed Assertion Checking for post-silicon debugging. Search on Bibsonomy HLDVT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Hoang Minh Le 0001, Daniel Große, Rolf Drechsler Towards analyzing functional coverage in SystemC TLM property checking. Search on Bibsonomy HLDVT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1 IEEE International High Level Design Validation and Test Workshop, HLDVT 2010, Anaheim, CA, USA, 10-12 June 2010 Search on Bibsonomy HLDVT The full citation details ... 2010 DBLP  BibTeX  RDF
1Nicola Nicolici, Ho Fai Ko Design-for-debug for post-silicon validation: Can high-level descriptions help? Search on Bibsonomy HLDVT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Miroslav N. Velev, Ping Gao 0002 Exploiting hierarchical encodings of equality to design independent strategies in parallel SMT decision procedures for a logic of equality. Search on Bibsonomy HLDVT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Maheshwar Chandrasekar, Michael S. Hsiao Diagnostic Test Generation for silicon diagnosis with an incremental learning framework based on search state compatibility. Search on Bibsonomy HLDVT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Ziyad Hanna, Thomas F. Melham A symbolic execution framework for algorithm-level modelling. Search on Bibsonomy HLDVT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Sumit Ahuja, Sandeep K. Shukla MCBCG: Model Checking Based Sequential Clock-Gating. Search on Bibsonomy HLDVT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Sven Verdoolaege, Martin Palkovic, Maurice Bruynooghe, Gerda Janssens, Francky Catthoor Experience with widening based equivalence checking in realistic multimedia systems. Search on Bibsonomy HLDVT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Debapriya Chatterjee, Valeria Bertacco Activity-based refinement for abstraction-guided simulation. Search on Bibsonomy HLDVT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Sung-Boem Park, Subhasish Mitra IFRA: Post-silicon bug localization in processors. Search on Bibsonomy HLDVT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Huan Chen 0001, João Marques-Silva 0001 TG-PRO: A new model for SAT-based ATPG. Search on Bibsonomy HLDVT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Rajat Subhra Chakraborty, Seetharam Narasimhan, Swarup Bhunia Hardware Trojan: Threats and emerging solutions. Search on Bibsonomy HLDVT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Priyank Kalla, Prabhat Mishra 0001 Chairs' welcome message. Search on Bibsonomy HLDVT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Jason G. Tong, Marc Boule, Zeljko Zilic Airwolf-TG: A test generator for assertion-based dynamic verification. Search on Bibsonomy HLDVT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Lingyi Liu, Shobha Vasudevan STAR: Generating input vectors for design validation by static analysis of RTL. Search on Bibsonomy HLDVT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1 IEEE International High Level Design Validation and Test Workshop, HLDVT 2009, San Francisco, CA, USA, 4-6 November 2009 Search on Bibsonomy HLDVT The full citation details ... 2009 DBLP  BibTeX  RDF
1Yongquan Fan, Zeljko Zilic A versatile scheme for the validation, testing and debugging of High Speed Serial Interfaces. Search on Bibsonomy HLDVT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Peter Lisherness, Kwang-Ting Cheng An instrumented observability coverage method for system validation. Search on Bibsonomy HLDVT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Kanupriya Gulati, Sunil P. Khatri Fault table generation using Graphics Processing Units. Search on Bibsonomy HLDVT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Gianpiero Cabodi, Leandro Dipietro, Marco Murciano, Sergio Nocco Exploiting incrementality in SAT-based search for multiple equivalence-preserving transformations in combinational circuits. Search on Bibsonomy HLDVT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Sunil R. Shenoy Leadership Microprocessors: Validation, debug and test. Search on Bibsonomy HLDVT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Maurizio Caramia, Stefano Di Carlo, Michele Fabiano, Paolo Prinetto FLARE: A design environment for FLASH-based space applications. Search on Bibsonomy HLDVT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1In-Ho Moon, Kevin Harer Learning from constraints for formal property checking. Search on Bibsonomy HLDVT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Bin Xue, Sandeep K. Shukla Analysis of scheduled Latency insensitive systems with periodic clock calculus. Search on Bibsonomy HLDVT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Hao Zheng A coordinated reachability analysis method for modular verification of asynchronous designs. Search on Bibsonomy HLDVT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Sean Safarpour, Andreas G. Veneris Automated debugging with high level abstraction and refinement. Search on Bibsonomy HLDVT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1J. Hao, Valeria Bertacco PowerRanger: Assessing circuit vulnerability to power attacks using SAT-based static analysis. Search on Bibsonomy HLDVT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Susmit Jha, Wenchao Li 0001, Sanjit A. Seshia Localizing transient faults using dynamic bayesian networks. Search on Bibsonomy HLDVT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Hongxia Fang, Krishnendu Chakrabarty, Hideo Fujiwara RTL DFT techniques to enhance defect coverage for functional test sequences. Search on Bibsonomy HLDVT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Subodh Sharma 0001, Ganesh Gopalakrishnan, Eric Mercer Dynamic verification of Multicore Communication applications in MCAPI. Search on Bibsonomy HLDVT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Bijan Alizadeh, Masahiro Fujita Modular arithmetic decision procedure with auto-correction mechanism. Search on Bibsonomy HLDVT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Daniel Geist, Oded Vaida A method for hunting bugs that occur due to system conflicts. Search on Bibsonomy HLDVT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Kenneth M. Zick, John P. Hayes High-level vulnerability over space and time to insidious soft errors. Search on Bibsonomy HLDVT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Stefano Cordibella, Franco Fummi, Giovanni Perbellini, Davide Quaglia A HW/SW co-simulation framework for the verification of multi-CPU systems. Search on Bibsonomy HLDVT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Miron Abramovici In-system silicon validation using a reconfigurable platform. Search on Bibsonomy HLDVT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Hassan Hatefi-Ardakani, Amir Masoud Gharehbaghi, Shaahin Hessabi Timing verification of distributed network systems at higher levels of abstraction. Search on Bibsonomy HLDVT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Neal Stollon On Chip Instrument application to SoC analysis. Search on Bibsonomy HLDVT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Homa Alemzadeh, Zainalabedin Navabi, Stefano Di Carlo, Alberto Scionti, Paolo Prinetto Functional testing approaches for "BIFST-able" tlm_fifo. Search on Bibsonomy HLDVT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Dusung Kim, Maciej J. Ciesielski, Kyuho Shim, Seiyang Yang Temporal parallel gate-level timing simulation. Search on Bibsonomy HLDVT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Avi Ziv, Chris Wilson, Adnan Hamid, Joerg Grosse Special session - What's so intelligent about testbenches? Search on Bibsonomy HLDVT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Shireesh Verma, Srinath Atluri, Valeria Bertacco, Mark Glasser, Badri Gopalan, Sharon Rosenberg Panel: Software practices for verification/testbench management. Search on Bibsonomy HLDVT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Torsten Schober, Shimon Landa, Bodo Hoppe, Ronny Morad IBM system z functional and performance verification using X-Gen. Search on Bibsonomy HLDVT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Stefano Di Carlo, Paolo Prinetto, Alberto Scionti, Zaid Al-Ars Automating defects simulation and fault modeling for SRAMs. Search on Bibsonomy HLDVT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Ankur Parikh, Michael S. Hsiao On dynamic switching of navigation for semi-formal design validation. Search on Bibsonomy HLDVT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Katell Morin-Allory, Marc Boule, Dominique Borrione, Zeljko Zilic Proving and disproving assertion rewrite rules with automated theorem provers. Search on Bibsonomy HLDVT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1 IEEE International High Level Design Validation and Test Workshop, HLDVT 2008, Incline Village, NV, USA, November 19-21, 2008 Search on Bibsonomy HLDVT The full citation details ... 2008 DBLP  BibTeX  RDF
1Daniel Gil, Luis J. Saiz, Joaquin Gracia, Juan Carlos Baraza, Pedro J. Gil Injecting intermittent faults for the dependability validation of commercial microcontrollers. Search on Bibsonomy HLDVT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Kiran Ramineni, Shireesh Verma, Ian G. Harris Evaluation of an efficient control-oriented coverage metric. Search on Bibsonomy HLDVT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Tasuku Nishihara, Takeshi Matsumoto, Masahiro Fujita Multi-level Bounded Model Checking to detect bugs beyond the bound. Search on Bibsonomy HLDVT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Wei-Lin Li, Tsung-Tang Chen, Po-Han Wu, Jiann-Chyi Rau Test slice difference technique for low power encoding. Search on Bibsonomy HLDVT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Prakash Math, David Hoenig Janus: A novel use of Formal Verification for targeted behavioral equivalence. Search on Bibsonomy HLDVT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Kapila Udawatta, Sergey Maidanov, Mehdi Ehsanian, Surya Musunuri Test and validation of a non-deterministic system - True Random Number Generator. Search on Bibsonomy HLDVT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Giuseppe Di Guglielmo, Franco Fummi, Mark Hampton, Graziano Pravadelli, Francesco Stefanni The role of parallel simulation in functional verification. Search on Bibsonomy HLDVT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Bhanu Kapoor, John Goodenough 0001, Shankar Hemmady, Shireesh Verma, Manuel A. d'Abreu, Kaushik Roy 0001 Panel: SoC power management implications on validation and testing. Search on Bibsonomy HLDVT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Xiaoxi Xu, Cheng-Chew Lim, Michael J. Liebelt Positioning test-benches and test-programs in interaction-oriented system-on-chip verification. Search on Bibsonomy HLDVT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Farzin Karimi Applications of decorator and observer design patterns in functional verification. Search on Bibsonomy HLDVT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Íñigo Ugarte, Pablo Sanchez Optimized coverage-directed random simulation. Search on Bibsonomy HLDVT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Mohammad Hosseinabady, Mohammad Reza Kakoee, Jimson Mathew, Dhiraj K. Pradhan Reliable network-on-chip based on generalized de Bruijn graph. Search on Bibsonomy HLDVT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Eric Cheung, Xi Chen 0024, Fur-Shing Tsai, Yu-Chin Hsu, Harry Hsieh Bridging RTL and gate: correlating different levels of abstraction for design debugging. Search on Bibsonomy HLDVT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Marco Murciano, Massimo Violante Validating the dependability of embedded systems through fault injection by means of loadable kernel modules. Search on Bibsonomy HLDVT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Eric Cheung, Harry Hsieh, Felice Balarin Automatic buffer sizing for rate-constrained KPN applications on multiprocessor system-on-chip. Search on Bibsonomy HLDVT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Bijan Alizadeh, Masahiro Fujita A novel formal approach to generate high-level test vectors without ILP and SAT solvers. Search on Bibsonomy HLDVT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Lucky L. Chi Yu Lo, Samar Abdi Automatic TLM generation for C-Based MPSoC design. Search on Bibsonomy HLDVT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Eric Cheung, Harry Hsieh, Felice Balarin Framework for fast and accurate performance simulation of multiprocessor systems. Search on Bibsonomy HLDVT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Tommy Bojan, Manuel Aguilar Arreola, Eran Shlomo, Tal Shachar Functional coverage measurements and results in post-Silicon validation of Core™2 duo family. Search on Bibsonomy HLDVT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Krste Asanovic Transactors for parallel hardware and software co-design. Search on Bibsonomy HLDVT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Shireesh Verma, Ian G. Harris, Kiran Ramineni Automatic generation of functional coverage models from CTL. Search on Bibsonomy HLDVT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1 IEEE International High Level Design Validation and Test Workshop, HLDVT 2007, Irvine, CA, USA, November 7-9, 2007 Search on Bibsonomy HLDVT The full citation details ... 2007 DBLP  BibTeX  RDF
1Tommy Bojan, Igor Frumkin, Robert Mauri Intel's Post Silicon functional validation approach. Search on Bibsonomy HLDVT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Noureddine Chabini, Wayne H. Wolf An approach for computing the initial state for retimed synchronous sequential circuits. Search on Bibsonomy HLDVT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Tao Lv 0001, Tong Xu, Yang Zhao, Huawei Li 0001, Xiaowei Li 0001 Bug analysis and corresponding error models in real designs. Search on Bibsonomy HLDVT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Kiran Ramineni, Ian G. Harris, Shireesh Verma Improving feasible interactions among multiple processes. Search on Bibsonomy HLDVT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Xiaofang Chen, Yu Yang 0013, Michael Delisi, Ganesh Gopalakrishnan, Ching-Tsun Chou Hierarchical cache coherence protocol verification one level at a time through assume guarantee. Search on Bibsonomy HLDVT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Joseph Buck, Dong Wang, Yunshan Zhu Formal model construction using HDL simulation semantics. Search on Bibsonomy HLDVT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Onur Guzey, Li-C. Wang Coverage-directed test generation through automatic constraint extraction. Search on Bibsonomy HLDVT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Deepak Mathaikutty, Sumit Ahuja, Ajit Dingankar, Sandeep K. Shukla Model-driven test generation for system level validation. Search on Bibsonomy HLDVT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Jai Kumar, Catherine Ahlschlager, Peter Isberg Post-silicon verification methodology on Sun's UItraSPARC T2. Search on Bibsonomy HLDVT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Alfredo Benso, Stefano Di Carlo, Paolo Prinetto, Alberto Bosio Automating the IEEE std.1500 compliance verification for embedded cores. Search on Bibsonomy HLDVT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Peter A. Milder, Franz Franchetti, James C. Hoe, Markus Püschel FFT Compiler: from math to efficient hardware HLDVT invited short paper. Search on Bibsonomy HLDVT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Gérard Berry Circuit design and verication with Esterel v7 and Esterel Studio. Search on Bibsonomy HLDVT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Shakti Kapoor Challenges in post-silicon verification of IBM's Cell/B.E. and other game processors. Search on Bibsonomy HLDVT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Sunil Kakkar, Janick Bergeron, Brian Bailey, Harry Foster, Ian Harris Panel: Unified approach leading to a seamlessly evolving test bench for all phases of a multi-core design, validation and production test. Search on Bibsonomy HLDVT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Kai-Hui Chang, Ilya Wagner, Valeria Bertacco, Igor L. Markov Automatic error diagnosis and correction for RTL designs. Search on Bibsonomy HLDVT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 417 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license