The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ISLPED"( http://dblp.L3S.de/Venues/ISLPED )

URL (DBLP): http://dblp.uni-trier.de/db/conf/islped

Publication years (Num. hits)
1996 (74) 1997 (66) 1998 (65) 1999 (57) 2000 (61) 2001 (76) 2002 (67) 2003 (96) 2004 (75) 2005 (76) 2006 (83) 2007 (79) 2008 (80) 2009 (90) 2010 (80) 2011 (78) 2012 (76) 2013 (80) 2014 (75) 2015 (68) 2016 (69) 2017 (64) 2018 (55) 2019 (58) 2020 (43) 2021 (39) 2022 (33) 2023 (55)
Publication types (Num. hits)
inproceedings(1890) proceedings(28)
Venues (Conferences, Journals, ...)
ISLPED(1918)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1797 occurrences of 735 keywords

Results
Found 1918 publication records. Showing 1918 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Zhiyu Chen 0003, Qing Jin, Jingyu Wang 0003, Yanzhi Wang, Kaiyuan Yang 0001 MC2-RAM: An In-8T-SRAM Computing Macro Featuring Multi-Bit Charge-Domain Computing and ADC-Reduction Weight Encoding. Search on Bibsonomy ISLPED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Haiyang Han, Theoni Alexoudi, Christos Vagionas, Nikos Pleros, Nikos Hardavellas Pho$: A Case for Shared Optical Cache Hierarchies. Search on Bibsonomy ISLPED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Mingui Sun, Qi Xu, Tianfeng Wang, Shitong Mao, Gusphyl A. Justin, Wenyan Jia, Zhi-Hong Mao Wireless Power Transfer And Data Communication For Low-Power Micro Electronic Devices Deeply Implanted Within The Human Body. Search on Bibsonomy ISLPED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Mohanad Odema, Nafiul Rashid, Mohammad Abdullah Al Faruque EExNAS: Early-Exit Neural Architecture Search Solutions for Low-Power Wearable Devices. Search on Bibsonomy ISLPED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Matthew Mattina Co-Designing Hardware and Models for Efficient On-Device ML Inference. Search on Bibsonomy ISLPED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Di Wu 0016, Jingjie Li, Setareh Behroozi, Younghyun Kim 0001, Joshua San Miguel UNO: Virtualizing and Unifying Nonlinear Operations for Emerging Neural Networks. Search on Bibsonomy ISLPED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Zhitao Yang, Zhujiang Han, Yucong Huang, Terry Tao Ye 55nm CMOS Analog Circuit Implementation of LIF and STDP Functions for Low-Power SNNs. Search on Bibsonomy ISLPED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Xiao Liu 0033, Minxuan Zhou, Rachata Ausavarungnirun, Sean Eilert, Ameen Akel, Tajana Rosing, Vijaykrishnan Narayanan, Jishen Zhao FPRA: A Fine-grained Parallel RRAM Architecture. Search on Bibsonomy ISLPED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Fan Chen 0001 PUFFIN: An Efficient DNN Training Accelerator for Direct Feedback Alignment in FeFET. Search on Bibsonomy ISLPED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1 IEEE/ACM International Symposium on Low Power Electronics and Design, ISLPED 2021, Boston, MA, USA, July 26-28, 2021 Search on Bibsonomy ISLPED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Hasan Alhasan, Yun-Chih Chen, Chien-Chung Ho RVO: Unleashing SSD's Parallelism by Harnessing the Unused Power. Search on Bibsonomy ISLPED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Prattay Chowdhury, Benjamin Carrión Schäfer BEACON: BEst Approximations for Complete BehaviOral HeterogeNeous SoCs. Search on Bibsonomy ISLPED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Tanvi Sharma, Cheng Wang, Amogh Agrawal, Kaushik Roy 0001 Enabling Robust SOT-MTJ Crossbars for Machine Learning using Sparsity-Aware Device-Circuit Co-design. Search on Bibsonomy ISLPED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Bo Zhang 0098, Zeming Cheng, Massoud Pedram A High-Performance Low-Power Barrett Modular Multiplier for Cryptosystems. Search on Bibsonomy ISLPED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Arman Kazemi, Shubham Sahay, Ayush Saxena, Mohammad Mehdi Sharifi, Michael T. Niemier, X. Sharon Hu A Flash-Based Multi-Bit Content-Addressable Memory with Euclidean Squared Distance. Search on Bibsonomy ISLPED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Chen Xie, Daniele Jahier Pagliari, Andrea Calimera, Enrico Macii, Massimo Poncino ACME: An Energy-Efficient Approximate Bus Encoding for I2C. Search on Bibsonomy ISLPED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yongan Zhang, Yonggan Fu, Weiwen Jiang, Chaojian Li, Haoran You, Meng Li 0004, Vikas Chandra, Yingyan Lin DIAN: Differentiable Accelerator-Network Co-Search Towards Maximal DNN Efficiency. Search on Bibsonomy ISLPED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Brian Crafton, Samuel Spetalnick, Jong-Hyeok Yoon, Arijit Raychowdhury Statistical Optimization of Compute In-Memory Performance Under Device Variation. Search on Bibsonomy ISLPED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Nuzhat Yamin, Ganapati Bhat Online Solar Energy Prediction for Energy-Harvesting Internet of Things Devices. Search on Bibsonomy ISLPED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Philipp Kremer, Francesco Cigarini, Dietmar Göhlich, Sangyoung Park Active Cell Balancing for Life Cycle Extension of Lithium-Ion Batteries under Thermal Gradient. Search on Bibsonomy ISLPED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Alessio Burrello, Alberto Dequino, Daniele Jahier Pagliari, Francesco Conti 0001, Marcello Zanghieri, Enrico Macii, Luca Benini, Massimo Poncino TCN Mapping Optimization for Ultra-Low Power Time-Series Edge Inference. Search on Bibsonomy ISLPED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Lingjun Zhu, Tuan Ta, Rossana Liu, Rahul Mathur, Xiaoqing Xu, Shidhartha Das, Ankit Kaul, Alejandro Rico, Doug Joseph, Brian Cline, Sung Kyu Lim Power Delivery and Thermal-Aware Arm-Based Multi-Tier 3D Architecture. Search on Bibsonomy ISLPED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yigit Tuncel, Toygun Basaklar, Ümit Y. Ogras How Much Energy Can We Harvest Daily for Wearable Applications? Search on Bibsonomy ISLPED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Anthony Agnesina, Moritz Brunion, Jinwoo Kim, Alberto García Ortiz, Dragomir Milojevic, Francky Catthoor, Manu Perumkunnil, Sung Kyu Lim Power, Performance, Area and Cost Analysis of Memory-on-Logic Face-to-Face Bonded 3D Processor Designs. Search on Bibsonomy ISLPED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Hyeon Gyu Lee, Juwon Lee, Minwook Kim, Donghwa Shin, Sungjin Lee 0001, Bryan S. Kim, Eunji Lee, Sang Lyul Min SpartanSSD: a Reliable SSD under Capacitance Constraints. Search on Bibsonomy ISLPED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Liang Yan, Mingzhe Zhang, Rujia Wang, Xiaoming Chen 0003, Xingqi Zou, Xiaoyang Lu, Yinhe Han 0001, Xian-He Sun CoPIM: A Concurrency-aware PIM Workload Offloading Architecture for Graph Applications. Search on Bibsonomy ISLPED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Abhinav Goel, Caleb Tung, Xiao Hu 0004, Haobo Wang, James C. Davis 0001, George K. Thiruvathukal, Yung-Hsiang Lu Low-Power Multi-Camera Object Re-Identification using Hierarchical Neural Networks. Search on Bibsonomy ISLPED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Roman Gauchi, Valentin Egloff, Maha Kooli, Jean-Philippe Noël, Bastien Giraud, Pascal Vivet, Subhasish Mitra, Henri-Pierre Charles Reconfigurable tiles of computing-in-memory SRAM architecture for scalable vectorization. Search on Bibsonomy ISLPED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Naebeom Park, Yulhwa Kim, Daehyun Ahn, Taesu Kim, Jae-Joon Kim Time-step interleaved weight reuse for LSTM neural network computing. Search on Bibsonomy ISLPED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Bingbing Li, Santosh Pandey, Haowen Fang, Yanjun Lyv, Ji Li 0006, Jieyang Chen, Mimi Xie, Lipeng Wan, Hang Liu 0001, Caiwen Ding FTRANS: energy-efficient acceleration of transformers using FPGA. Search on Bibsonomy ISLPED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Soumendu Kumar Ghosh, Arnab Raha, Vijay Raghunathan Approximate inference systems (AxIS): end-to-end approximations for energy-efficient inference at the edge. Search on Bibsonomy ISLPED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Liang Zhou 0006, Laxmi N. Bhuyan, K. K. Ramakrishnan Swan: a two-step power management for distributed search engines. Search on Bibsonomy ISLPED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Yoonho Park, Yesung Kang, Sunghoon Kim, Eunji Kwon, Seokhyeong Kang GRLC: grid-based run-length compression for energy-efficient CNN accelerator. Search on Bibsonomy ISLPED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Barry de Bruin, Kamlesh Singh, Jos Huisken, Henk Corporaal BrainWave: an energy-efficient EEG monitoring system - evaluation and trade-offs. Search on Bibsonomy ISLPED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Kshitij Bhardwaj, Marton Havasi, Yuan Yao 0006, David M. Brooks, José Miguel Hernández-Lobato, Gu-Yeon Wei A comprehensive methodology to determine optimal coherence interfaces for many-accelerator SoCs. Search on Bibsonomy ISLPED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Ling Qiu, Mahabubul Alam, Abdullah Ash-Saki, Swaroop Ghosh Resiliency analysis and improvement of variational quantum factoring in superconducting qubit. Search on Bibsonomy ISLPED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Khushboo Rani, Sukarn Agarwal, Hemangee K. Kapoor DidaSel: dirty data based selection of VC for effective utilization of NVM buffers in on-chip interconnects. Search on Bibsonomy ISLPED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Arijit Nath, Hemangee K. Kapoor WELCOMF: wear leveling assisted compression using frequent words in non-volatile main memories. Search on Bibsonomy ISLPED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Priyadarshini Panda QUANOS: adversarial noise sensitivity driven hybrid quantization of neural networks. Search on Bibsonomy ISLPED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Hadi Zamani 0001, Devashree Tripathy, Laxmi N. Bhuyan, Zizhong Chen SAOU: safe adaptive overclocking and undervolting for energy-efficient GPU computing. Search on Bibsonomy ISLPED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Ramin Rajaei, Yen-Kai Lin, Sayeef S. Salahuddin, Michael T. Niemier, Xiaobo Sharon Hu GC-eDRAM design using hybrid FinFET/NC-FinFET. Search on Bibsonomy ISLPED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Md Shazzad Hossain, Ioannis Savidis Dynamic idle core management and leakage current reuse in MPSoC platforms. Search on Bibsonomy ISLPED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1David Atienza Alonso, Qinru Qiu, Sherief Reda, Yiran Chen 0001 (eds.) ISLPED '20: ACM/IEEE International Symposium on Low Power Electronics and Design, Boston, Massachusetts, August 10-12, 2020 Search on Bibsonomy ISLPED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Tseng-Yi Chen, Yuan-Hao Chang 0001, Ming-Chang Yang, Huang-Wei Chen How to cultivate a green decision tree without loss of accuracy? Search on Bibsonomy ISLPED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Gianmarco Cerutti, Renzo Andri, Lukas Cavigelli, Elisabetta Farella, Michele Magno, Luca Benini Sound event detection with binary neural networks on tightly power-constrained IoT devices. Search on Bibsonomy ISLPED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Abdullah Ash-Saki, Mahabubul Alam, Swaroop Ghosh Analysis of crosstalk in NISQ devices and security implications in multi-programming regime. Search on Bibsonomy ISLPED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Mingyen Lee, Wenjun Tang, Bowen Xue, Juejian Wu, Mingyuan Ma, Yu Wang 0002, Yongpan Liu, Deliang Fan, Vijaykrishnan Narayanan, Huazhong Yang, Xueqing Li FeFET-based low-power bitwise logic-in-memory with direct write-back and data-adaptive dynamic sensing interface. Search on Bibsonomy ISLPED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Yigit Tuncel, Shiva Bandyopadhyay, Shambhavi V. Kulshrestha, Audrey Mendez, Ümit Y. Ogras Towards wearable piezoelectric energy harvesting: modeling and experimental validation. Search on Bibsonomy ISLPED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Sunwha Koh, Yonghwi Kwon 0002, Youngsoo Shin Pre-layout clock tree estimation and optimization using artificial neural network. Search on Bibsonomy ISLPED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Qiuwen Lou, Tianqi Gao, Patrick Faley, Michael T. Niemier, Xiaobo Sharon Hu, Siddharth Joshi Embedding error correction into crossbars for reliable matrix vector multiplication using emerging devices. Search on Bibsonomy ISLPED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Tim Schumacher, Markus Stadelmayer, Thomas Faseth, Harald Pretl A 1.2-V, 1.8-GHz low-power PLL using a class-F VCO for driving 900-MHz SRD band SC-circuits. Search on Bibsonomy ISLPED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Qin Li 0016, Sheng Lin 0001, Changlu Liu, Yidong Liu, Fei Qiao, Yanzhi Wang, Huazhong Yang NS-KWS: joint optimization of near-sensor processing architecture and low-precision GRU for always-on keyword spotting. Search on Bibsonomy ISLPED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Michael Hersche, Edoardo Mello Rella, Alfio Di Mauro, Luca Benini, Abbas Rahimi Integrating event-based dynamic vision sensors with sparse hyperdimensional computing: a low-power accelerator with online learning capability. Search on Bibsonomy ISLPED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Bon Woong Ku, Sung Kyu Lim Pin-in-the-middle: an efficient block pin assignment methodology for block-level monolithic 3D ICs. Search on Bibsonomy ISLPED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Nicolas Goux, Jean-Baptiste Casanova, Gaël Pillonnet, Franck Badets A 640pW 32kHz switched-capacitor ILO analog-to-time converter for wake-up sensor applications. Search on Bibsonomy ISLPED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Chenchen Liu, Fuxun Yu, Zhuwei Qin, Xiang Chen 0010 Enabling efficient ReRAM-based neural network computing via crossbar structure adaptive optimization. Search on Bibsonomy ISLPED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Yixiong Yang, Zhe Yuan, Fang Su, Fanyang Cheng, Zhuqing Yuan, Huazhong Yang, Yongpan Liu Multi-channel precision-sparsity-adapted inter-frame differential data codec for video neural network processor. Search on Bibsonomy ISLPED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Mustafa Fayez Ali, Amogh Agrawal, Kaushik Roy 0001 RAMANN: in-SRAM differentiable memory computations for memory-augmented neural networks. Search on Bibsonomy ISLPED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Ghasem Pasandi, Mackenzie Peterson, Moisés Herrera, Shahin Nazarian, Massoud Pedram Deep-PowerX: a deep learning-based framework for low-power approximate logic synthesis. Search on Bibsonomy ISLPED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Devashree Tripathy, Hadi Zamani 0001, Debiprasanna Sahoo, Laxmi N. Bhuyan, Manoranjan Satpathy Slumber: static-power management for GPGPU register files. Search on Bibsonomy ISLPED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Taehwan Kim 0007, Gyoung-Hwan Hyun, Taewhan Kim Steady state driven power gating for lightening always-on state retention storage. Search on Bibsonomy ISLPED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Saransh Gupta, Mohsen Imani, Hengyu Zhao, Fan Wu, Jishen Zhao, Tajana Simunic Rosing Implementing binary neural networks in memory with approximate accumulation. Search on Bibsonomy ISLPED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Rishika Agarwala, Peng Wang, Akhilesh Tanneeru, Bongmook Lee, Veena Misra, Benton H. Calhoun An 88.6nW ozone pollutant sensing interface IC with a 159 dB dynamic range. Search on Bibsonomy ISLPED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Behnam Khaleghi, Sahand Salamat, Anthony Thomas, Fatemeh Asgarinejad, Yeseong Kim, Tajana Rosing SHEARer: highly-efficient hyperdimensional computing by software-hardware enabled multifold approximation. Search on Bibsonomy ISLPED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Arash Fayyazi, Amirhossein Esmaili, Massoud Pedram HIPE-MAGIC: a technology-aware synthesis and mapping flow for highly parallel execution of memristor-aided LoGIC. Search on Bibsonomy ISLPED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Abhinav Goel, Caleb Tung, Sarah Aghajanzadeh, Isha Ghodgaonkar, Shreya Ghosh 0003, George K. Thiruvathukal, Yung-Hsiang Lu Low-power object counting with hierarchical neural networks. Search on Bibsonomy ISLPED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Tao-Yi Lee, Khuong Vo, Wongi Baek, Michelle Khine, Nikil D. Dutt STINT: selective transmission for low-energy physiological monitoring. Search on Bibsonomy ISLPED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Hyeonuk Sim, Jooyeon Choi, Jongeun Lee SparTANN: sparse training accelerator for neural networks with threshold-based sparsification. Search on Bibsonomy ISLPED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Harshit Kumar, Nikhil Chawla, Saibal Mukhopadhyay BiasP: a DVFS based exploit to undermine resource allocation fairness in linux platforms. Search on Bibsonomy ISLPED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Zhe Chen, Garrett J. Blair, Hugh T. Blair, Jason Cong BLINK: bit-sparse LSTM inference kernel enabling efficient calcium trace extraction for neurofeedback devices. Search on Bibsonomy ISLPED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Yu-Chen Lin, Pi-Cheng Hsiu, Tei-Wei Kuo Autonomous I/O for Intermittent IoT Systems. Search on Bibsonomy ISLPED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Kyuseung Han, Sukho Lee, Jae-Jin Lee, Woojoo Lee, Massoud Pedram TIP: A Temperature Effect Inversion-Aware Ultra-Low Power System-on-Chip Platform. Search on Bibsonomy ISLPED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Xiao Liu 0033, Mingxuan Zhou, Tajana Simunic Rosing, Jishen Zhao HR3AM: A Heat Resilient Design for RRAM-based Neuromorphic Computing. Search on Bibsonomy ISLPED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Amir Erfan Eshratifar, Amirhossein Esmaili, Massoud Pedram BottleNet: A Deep Learning Architecture for Intelligent Mobile Cloud Computing Services. Search on Bibsonomy ISLPED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Ricardo Gomez Gomez, Edwige Bano, Sylvain Clerc Comparative evaluation of Body Biasing and Voltage Scaling for Low-Power Design on 28nm UTBB FD-SOI Technology. Search on Bibsonomy ISLPED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Zihao Yuan, Geoffrey Vaartstra, Prachi Shukla, Sherief Reda, Evelyn Wang, Ayse K. Coskun Modeling and Optimization of Chip Cooling with Two-Phase Vapor Chambers. Search on Bibsonomy ISLPED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Aporva Amarnath, Javad Bagherzadeh, Jielun Tan, Ronald G. Dreslinski 3DTUBE: A Design Framework for High-Variation Carbon Nanotube-based Transistor Technology. Search on Bibsonomy ISLPED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Joonas Multanen, Pekka Jääskeläinen, Asif Ali Khan, Fazal Hameed, Jerónimo Castrillón SHRIMP: Efficient Instruction Delivery with Domain Wall Memory. Search on Bibsonomy ISLPED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Sayandip De, Jos Huisken, Henk Corporaal An Automated Approximation Methodology for Arithmetic Circuits. Search on Bibsonomy ISLPED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yu-Pei Liang, Tseng-Yi Chen, Yuan-Hao Chang 0001, Shuo-Han Chen, Pei-Yu Chen, Wei-Kuan Shih Rethinking Last-level-cache Write-back Strategy for MLC STT-RAM Main Memory with Asymmetric Write Energy. Search on Bibsonomy ISLPED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1 2019 IEEE/ACM International Symposium on Low Power Electronics and Design, ISLPED 2019, Lausanne, Switzerland, July 29-31, 2019 Search on Bibsonomy ISLPED The full citation details ... 2019 DBLP  BibTeX  RDF
1Di Zhu 0002, Yunfan Li 0002, Lizhong Chen On Trade-off Between Static and Dynamic Power Consumption in NoC Power Gating. Search on Bibsonomy ISLPED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Jian Deng, Jean-Luc Nagel, Loïc Zahnd, Marc Pons 0001, David Ruffieux, Claude Arm, Pascal Persechini, Stéphane Emery Energy-Autonomous MCU Operating in sub-VT Regime with Tightly-Integrated Energy-Harvester : A SoC for IoT smart nodes containing a MCU with minimum-energy point of 2.9pJ/cycle and a harvester with output power range from sub-µW to 4.32mW. Search on Bibsonomy ISLPED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Pavan Kumar Chundi, Peiye Liu, Sangsu Park, Seho Lee, Mingoo Seok FPGA-based Acceleration of Binary Neural Network Training with Minimized Off-Chip Memory Access. Search on Bibsonomy ISLPED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Karthikeyan Nagarajan, Sina Sayyah Ensan, Mohammad Nasim Imtiaz Khan, Swaroop Ghosh, Anupam Chattopadhyay SHINE: A Novel SHA-3 Implementation Using ReRAM-based In-Memory Computing. Search on Bibsonomy ISLPED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Jiheon Park, Young-Ha Hwang, Jonghyun Oh, Yoonho Song, Jun-Eun Park, Deog-Kyoon Jeong A Compact Self-Capacitance Sensing Analog Front-End for a Touch Detection in Low-Power Mode. Search on Bibsonomy ISLPED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Geng Yuan, Xiaolong Ma, Caiwen Ding, Sheng Lin 0001, Tianyun Zhang, Zeinab S. Jalali, Yilong Zhao, Li Jiang 0002, Sucheta Soundarajan, Yanzhi Wang An Ultra-Efficient Memristor-Based DNN Framework with Structured Weight Pruning and Quantization Using ADMM. Search on Bibsonomy ISLPED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Ragh Kuttappa, Baris Taskin, Scott Lerner, Vasil Pano, Ioannis Savidis Robust Low Power Clock Synchronization for Multi-Die Systems. Search on Bibsonomy ISLPED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Venkata Chaitanya Krishna Chekuri, Nihar Dasari, Arvind Singh, Saibal Mukhopadhyay Automatic GDSII Generator for On-Chip Voltage Regulator for Easy Integration in Digital SoCs. Search on Bibsonomy ISLPED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Xiaoqing Xu, Mudit Bhargava, Steve Moore, Saurabh Sinha, Brian Cline Enhanced 3D Implementation of an Arm® Cortex®-A Microprocessor. Search on Bibsonomy ISLPED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Di Wu 0016, Tianen Chen, Chien-Fu Chen, Oghenefego Ahia, Joshua San Miguel, Mikko H. Lipasti, Younghyun Kim 0001 SECO: A Scalable Accuracy Approximate Exponential Function Via Cross-Layer Optimization. Search on Bibsonomy ISLPED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Taegeun Yoo, Hyunjoon Kim, Qian Chen 0027, Tony Tae-Hyoung Kim, Bongjin Kim A Logic Compatible 4T Dual Embedded DRAM Array for In-Memory Computation of Deep Neural Networks. Search on Bibsonomy ISLPED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Halil Andaç Yigit, Hasan Ulusan, Muhammed Berat Yuksel, Salar Chamanian, Berkay Çiftci, Aziz Koyuncuoglu, Ali Muhtaroglu, Haluk Külah A Pulse-Width Modulated Cochlear Implant Interface Electronics with 513 µW Power Consumption. Search on Bibsonomy ISLPED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Tianhao Shen, Di Gao, Yiyu Shi 0001, Cheng Zhuo Power Delivery Resonant Virus: Concept and Applications. Search on Bibsonomy ISLPED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Daniele Jahier Pagliari, Matteo Ansaldi, Enrico Macii, Massimo Poncino CNN-Based Camera-less User Attention Detection for Smartphone Power Management. Search on Bibsonomy ISLPED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Hossein Farrokhbakht, Hadi Mardani Kamali, Natalie D. Enright Jerger Muffin: Minimally-Buffered Zero-Delay Power-Gating Technique in On-Chip Routers. Search on Bibsonomy ISLPED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Youngtae Yang, Jun Soo Cho, Byunggyu Lee, Suhwan Kim A Sound Activity Detector Embedded Low-Power MEMS Microphone Readout Interface for Speech Recognition. Search on Bibsonomy ISLPED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Jyotishman Saikia, Shihui Yin, Zhewei Jiang, Mingoo Seok, Jae-sun Seo K-Nearest Neighbor Hardware Accelerator Using In-Memory Computing SRAM. Search on Bibsonomy ISLPED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Hao-Chun Chang, Yu-Chieh Yang, Liang-Yan Yu, Chun-Han Lin FLASH: Content-based Power-saving Design for Scrolling Operations in Browser Applications on Mobile OLED Devices. Search on Bibsonomy ISLPED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Leul Belayneh, Abraham Addisie, Valeria Bertacco MessageFusion: On-path Message Coalescing for Energy Efficient and Scalable Graph Analytics. Search on Bibsonomy ISLPED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 1918 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license