The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "Integr."( http://dblp.L3S.de/Venues/Integr. )

URL (DBLP): http://dblp.uni-trier.de/db/journals/integration

Publication years (Num. hits)
1983 (21) 1984 (20) 1985 (23) 1986 (26) 1987 (23) 1988 (17) 1989 (40) 1990 (28) 1991 (49) 1992 (26) 1993 (39) 1994 (18) 1995 (19) 1996 (17) 1997 (30) 1998 (22) 1999 (16) 2000 (20) 2001-2002 (27) 2003 (26) 2004 (32) 2005 (21) 2006 (22) 2007 (50) 2008 (46) 2009 (49) 2010 (32) 2011 (28) 2012 (41) 2013 (42) 2014 (51) 2015 (69) 2016 (108) 2017 (120) 2018 (118) 2019 (145) 2020 (96) 2021 (98) 2022 (103) 2023 (154) 2024 (40)
Publication types (Num. hits)
article(1972)
Venues (Conferences, Journals, ...)
Integr.(1972)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
No Growbag Graphs found.

Results
Found 1972 publication records. Showing 1972 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Jindong Zhou, Yuyang Chen, Youliang Jing, Pingqiang Zhou The study of TSV-induced and strained silicon-enhanced stress in 3D-ICs. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Lanya Yu, Jinfeng Yan, Xiao Zhao, Jinhui Huang A fast transient response current-feedback low-dropout regulator with dynamic current-enhancement technique. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Milad Mehri Analytic estimation of jitter and eye diagram based on transmission line time domain response considering skin effect and stochastic crosstalk. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Weizheng Wang, Jian Liang, Xiangqi Wang, Xianmin Pan, Shuo Cai A secure scan architecture using parallel latch-based lock. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1D. Rebecca Florance, B. Prabhakar Design of joint reconfigurable hybrid adder and subtractor using FinFET and GnrFET technologies. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Jian-De Li, Sying-Jyan Wang, Katherine Shu-Min Li, Tsung-Yi Ho Design-for-reliability and on-the-fly fault tolerance procedure for paper-based digital microfluidic biochips with multiple faults. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1P. Manikandan A feed-forward compensated FVF LDO regulator with no on-chip compensation capacitors. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Zehua Fang, Jinglin Han, Huaxinyu Wang Deep reinforcement learning assisted reticle floorplanning with rectilinear polygon modules for multiple-project wafer. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Sudipta Paul 0001, Tridib Mukherjee, Pritha Banerjee 0001, Susmita Sur-Kolay Concurrent Steiner Tree Selection for Global routing with EUVL Flare Reduction. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1T. Pullaiah, K. Manjunathachari, B. L. Malleswari BΔ-NIS: Performance analysis of an efficient data compression technique for on-chip communication network. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Zhen Wang 0012, Fatemeh Parastesh, Huaigu Tian, Sajad Jafari Symmetric synchronization behavior of multistable chaotic systems and circuits in attractive and repulsive couplings. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yassine Attaoui, Mohamed Chentouf, Zine El Abidine Alaoui Ismaili, Aimad El Mourabit Machine learning application for cell delay accuracy improvement at post-placement stage: A case study for combinational cells. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Michael Guilherme Jordan, Bernardo Neuhaus Lignati, Guilherme Korol, Mateus Beck Rutzig, Antonio Carlos Schneider Beck MVSym: Efficient symbiotic exploitation of HLS-kernel multi-versioning for collaborative CPU-FPGA cloud systems. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Jiangwei Shang, Kun Zhang, Zhan Zhang, Chuanyou Li, Hongwei Liu 0002 A high-performance convolution block oriented accelerator for MBConv-Based CNNs. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yunan Zhao, Haomin Hou, Shuhao Zhang 0012, Hao Wang 0046, Sheng Chang, Qijun Huang, Jin He 0002 A 28-GHz wideband power amplifier with dual-pole tuning superposition technique in 55-nm RF CMOS. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Jiahong Zhang, Zhuo Wang, Chao Ma A CMOS transimpedance amplifier with broad-band and high gain based on negative Miller capacitance. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Nengyuan Sun, Jiafeng Cheng, Wenrui Liu, Zhaokang Peng, Caiban Sun, Chunyang Wang, Heng Sha, Yufei Wang, Weize Yu A novel on-chip linear and switching mixed regulation against power analysis attacks. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Sheikh Wasmir Hussain, Telajala Venkata Mahendra, Sandeep Mishra, Anup Dandapat SMS-CAM: Shared matchline scheme for content addressable memory. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Amol K. Boke, Sangeeta Nakhate, Arvind Rajawat FPGA implementation of PUF based key generator for secure communication in IoT. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Shengqi Yu, Fei Xia, Rishad A. Shafik, Domenico Balsamo, Alex Yakovlev Approximate digital-in analog-out multiplier with asymmetric nonvolatility and low energy consumption. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Daniel Murillo-Escobar, César Cruz-Hernández, Rosa Martha López-Gutiérrez, Miguel Ángel Murillo-Escobar Chaotic encryption of real-time ECG signal in embedded system for secure telemedicine. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Xin-Yu Shih, Chen-Yen Song, Yao-Yu Lu Unified chip hardware architecture of KD-tree mean-based trainer and speeding-up classifier with repeat-point searching for various applications. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Jayant K. Singh, Garima Kapur Design of an adaptive winner takes all circuit explaining features of binocular rivalry in visual brain. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Wu Zhou, Yiming Ouyang, Jianhua Li 0003, Dongyu Xu A transparent virtual channel power gating method for on-chip network routers. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Bijan Alizadeh, Masoud Shiroei Automatic correction of RTL designs using a lightweight partial high level synthesis. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Afshin Khaksari, Omid Akbari, Behzad Ebrahimi BEAD: Bounded error approximate adder with carry and sum speculations. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Lazaros Laskaridis, Christos K. Volos, Jesús Manuel Muñoz-Pacheco, Ioannis N. Stouboulos Study of the dynamical behavior of an Ikeda-based map with a discrete memristor. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Zhongjie Guo, Xinqi Cheng, Ruiming Xu, Changxu Su, Chen Li, Bin Wang, Youmei Guo, Yangle Wang A 1Gpixel 10FPS CMOS image sensor using pixel array high-speed readout technology. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Ankur Kumar, Rajendra Kumar Nagaria Reduction of variation and leakage in wide fan-in OR Logic domino gate. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Zheng Wang, Xu Liu 0002, Yunao Chen, Qiumeng Fan, Zhijie Chen, Peiyuan Wan Design of analog front-end integrated circuit of tactile sensor for human-machine interface. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yanhan Zeng, Qianhui Ge, Xin Zhang, Yuting Zhang, Meiling Chen, Yongfu Li A CAFVF-based output-capacitor-less LDO with PSRR improvement by feed forward and negative capacitance. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Bhawna Aggarwal, Shireesh Kumar Rai, Anant Sinha New memristor-less, resistor-less, two-OTA based grounded and floating meminductor emulators and their applications in chaotic oscillators. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Eleftherios K. Petavratzis, Christos K. Volos, Ioannis N. Stouboulos Experimental study of terrain coverage of an autonomous chaotic mobile robot. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Summiya Mumtaz, Nazli Sanam, Tanveer ul Haq An LA-group based design of the non-linear component of block cipher. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Farnaz Morshedlou, Ali Asghar Orouji, Nassim Ravanshad An energy-efficient analog circuit for detecting QRS complexes from ECG signal. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Wei Zeng 0015, Azadeh Davoodi, Rasit Onur Topaloglu ObfusX: Routing obfuscation with explanatory analysis of a machine learning attack. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Wen Chen, Lang Li, Ying Guo, Ying Huang SAND-2: An optimized implementation of lightweight block cipher. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Tiedong Cheng, Ziyu Xiao, Jianping Guo, Lijun Xu A low power high area-efficiency NMOS LDO with fast adaptive bias. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Shaohui Yan, Jianjian Wang, Ertong Wang, Qiyu Wang, Xi Sun, Lin Li A four-dimensional chaotic system with coexisting attractors and its backstepping control and synchronization. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Fakir Sharif Hossain, Tomokazo Yuneda An exquisitely sensitive variant-conscious post-silicon Hardware Trojan detection. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Onat Baloglu, Oguzhan Cicekoglu, Norbert Herencsar OTA-C signal delay compensation circuit for transimpedance-mode audio signal processing systems. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Sayan Tripathi, Jhilam Jana, Jaydeb Bhaumik New low power and fast SEC-DAEC and SEC-DAEC-TAEC codes for memories in space application. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Mauro Leoncini, Alessandro Bertolini, Paolo Melillo, Salvatore Levantino, Massimo Ghioni Integration of loop gain measurement circuit for stability evaluation in DC/DC converters with time-based control. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Zahra Yazdanian Amiri, Mojtaba Valinataj High-speed binary coded decimal digit multipliers with multiple error detection. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Carlos Alejandro Velázquez-Morales, Carlos Sánchez-López, C. M. Hernández-Mejía, Luis Abraham Sánchez-Gaspariano Artificial synapse topologies using arbitrary-order memristors. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Shuo Cai, Yan Wen, Caicai Xie, Weizheng Wang, Fei Yu 0009 Low-power and high-speed SRAM cells for double-node-upset recovery. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Poornima Narayanasamy, Seetharaman Gopalakrishnan Novel fault tolerance topology using corvus seek algorithm for application specific NoC. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Ruby Mishra, Manish Okade, Kamalakanta Mahapatra Efficient hardware mapping of Boolean substitution boxes based on functional decomposition for RFID and ISM band IoT applications. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Astrid Maritza González-Zapata, Luis Gerardo de la Fraga, Brisbane Ovilla-Martinez, Esteban Tlelo-Cuautle, Israel Cruz-Vega Enhanced FPGA implementation of Echo State Networks for chaotic time series prediction. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Rui Song, Jun Zhang, Jie Tong, Minghao Zhang, Sandy Cochran, Ian Underwood Design and analysis of a frequency division and duty cycle control circuit for on-chip signal synthesis. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yipei Yang, Zongyue Wang, Jing Ye 0001, Junfeng Fan, Shuai Chen, Huawei Li 0001, Xiaowei Li 0001, Yuan Cao 0003 Chosen ciphertext correlation power analysis on Kyber. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Liang Yao, Xinya Wu, Huishan Zhang DCDRO:A true random number generator based on dynamically configurable dual-output ring oscillator. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Mohammad Asyaei New partitioned domino circuit for power-efficient wide gates. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Maliha Tasnim, Chinmay Raje, Shuyuan Yu, Elaheh Sadredini, Sheldon X.-D. Tan MAGIC-DHT: Fast in-memory computing for Discrete Hadamard Transform. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Satyam Shukla, Punyesh Kumar Jha, Kailash Chandra Ray An energy-efficient single-cycle RV32I microprocessor for edge computing applications. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Navnit Kumar, Manjeet Kumar, Neeta Pandey CCTA based four different pairs of mutually coupled circuit using single topology. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yiming Ouyang, Dongyu Xu, Chang Qian, Wu Zhou, Qi Wang 0027, Huaguo Liang Dynamic detection of wireless interface faults and fault-tolerant routing algorithm in WiNoC. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Liang Pang, Ziqi Wang, Rui Shi, Mengyun Yao, Xiao Shi, Hao Yan 0002, Longxin Shi An efficient SRAM yield analysis method based on scaled-sigma adaptive importance sampling with meta-model accelerated. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Zhaokang Peng, Nengyuan Sun, Jiafeng Cheng, Wenrui Liu, Chunyang Wang, Yijian Bi, Caiban Sun, Yufei Wang, Yiming Wen, Yubin Wang, Weize Yu A sequential strong PUF architecture based on reconfigurable neural networks (RNNs) against state-of-the-art modeling attacks. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Li Zhang, Qishen Lv, Di Gao, Xian Zhou, Wenchao Meng, Qinmin Yang, Cheng Zhuo A fine-grained mixed precision DNN accelerator using a two-stage big-little core RISC-V MCU. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Chao Geng, Chunhua Luo, Zhen Zhang, Xiaoxiao Wang, Yunlong Liu, Bowen Sun Design and optimization of on-chip thick-plated copper-transformers for galvanic isolated DC-DC converter achieving up to 38.9% peak efficiency. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Jeyakumar Ponraj, R. Jeyabharath, P. Veena, Tharumar Srihari High-performance multiply-accumulate unit by integrating binary carry select adder and counter-based modular wallace tree multiplier for embedding system. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Akash Levy, Michael Oduoza, Akhilesh Balasingam, Roger T. Howe, Priyanka Raina 3-D coarse-grained reconfigurable array using multi-pole NEM relays for programmable routing. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yuanyuan Si, Hongjun Liu, Mengdi Zhao Constructing keyed strong S-Box with higher nonlinearity based on 2D hyper chaotic map and algebraic operation. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1T. Lavanya, K. Rajalakshmi Heterogenous ensemble learning driven multi-parametric assessment model for hardware Trojan detection. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Raghavendra Kumar Sakali, Sreehari Veeramachaneni, Sk. Noor Mahammad Preferential fault-tolerance multiplier design to mitigate soft errors in FPGAs. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Helen-Maria Dounavi, Yiorgos Tsiatouhas An aging monitoring scheme for SRAM decoders. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Chencan Zhou, Jie Qiu, Yang Cao, Geng-Chen Yang, Qin-Qin Shen, Quan Shi An accelerated modulus-based matrix splitting iteration method for mixed-size cell circuits legalization. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Andrea Boni, Michele Caselli Model of a switched-capacitor programmable voltage reference for ultra low-power applications. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Jing Luo, Xue Chen Transmission synchronization of multiple memristor chaotic circuits via single input controller and its application in secure communication. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Vivek Jangra, Manoj Kumar 0005 A PVT tolerant low power wide tuning range differential voltage controlled oscillator design in 90 nm CMOS technology. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Kaushik Das, Sambhu Nath Pradhan Hardware architecture design for complementary ensemble empirical mode decomposition algorithm. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Deeksha Sethi, Nithin Nagaraj, Harikrishnan N. B. Neurochaos feature transformation for Machine Learning. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Fei Yu 0009, Si Xu, Xiaoli Xiao, Wei Yao 0014, Yuanyuan Huang 0001, Shuo Cai, Bo Yin 0004, Yi Li Dynamics analysis, FPGA realization and image encryption application of a 5D memristive exponential hyperchaotic system. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Amitava Middya, Terng-Yin Hsu Design and implementation of virtual-single-length turbo decoder for multi-user parallel decoding. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1S. Skandha Deepsita, T. Karthikeyan, Sk. Noor Mahammad Energy efficient multiply-accumulate unit using novel recursive multiplication for error-tolerant applications. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Lu Xiao, Zheng Xiao, Fan Wu, Yunchuan Qin, Kenli Li 0001 Optimization on operation sorting for HLS scheduling algorithms. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Jie Xu 0019, Gensheng Hu, Dingjun Qian A quantum-based building block for designing a nanoscale full adder circuit with power analysis. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1José de Jesús Barba-Franco, Laura Romo-Muñoz, Rider Jaimes-Reátegui, Juan Hugo García López, Guillermo Huerta-Cuéllar, Alexander N. Pisarchik Electronic equivalent of a pump-modulated erbium-doped fiber laser. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Malek Teib, Alexandre Malherbe, Edith Kussener Multi-harvesting smart solution for self-powered wearable objects: System-level model and transistor-level design. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Bipasha Nath, Sheng-Yu Peng, Zu-Jia Lo, Yu-Hsuan Pai, Yi-Ting Yeh, Huang-Hsiang Chang, Yi-Ching Lu, Shu-Hui Huang, Fang-Chia Chang A biphasic current-mode stimulator integrated circuit with a novel residual charge compensation mechanism. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Abhiram Kumar, Pulkit Singh, K. Abhimanyu Kumar Patro, Bibhudendra Acharya High-throughput and area-efficient architectures for image encryption using PRINCE cipher. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Mahdi Mazidabadi Farahani, Jalil Mazloum, Majid Fouladian An ultra-wideband low noise amplifier with cascaded flipped-active inductor for cognitive radio applications. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Ruoran Liu, Hongjun Liu, Mengdi Zhao Reveal the correlation between randomness and Lyapunov exponent of n-dimensional non-degenerate hyper chaotic map. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Ulrich Brenner, Anna Silvanus BonnLogic: Delay optimization by And-Or Path restructuring. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Na Bai, Yueliang Zhou, Yaohua Xu, Yi Wang, Zihan Chen Highly stable soft-error immune SRAM with multi-node upset recovery for aerospace applications. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Didem Erol, Mustafa Berke Yelten A highly-linear, sub-mW LNA at 2.4 GHz in 40 nm CMOS process. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Mengdi Zhao, Hongjun Liu, Yujun Niu Batch generating keyed strong S-Boxes with high nonlinearity using 2D hyper chaotic map. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Cheng-Tsung Chang, Pin-Wei Chen, Wen-Long Chin, Shih-Hsiang Chou, Yu-Hua Yang Hardware-efficient algorithm and architecture design with memory and complexity reduction for semi-global matching. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Huijing Yang, Shichang Li, Mingyuan Ren A low offset low power CMOS dynamic comparator for analog to digital converters. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Kunal Kumar, Sandeep Kumar 0003, Binod Kumar Kanaujia A highly robust RF 65 nm CMOS power amplifier design using Quasi-Newton control algorithm for wireless system. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Duy Võ Hoàng, Dong Si Thien Chau, Van Van Huynh, Viet-Thanh Pham, Rui Wang 0040, Hui Sun, Giuseppe Grassi Building discrete maps with memristor and multiple nonlinear terms. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yongxia Sheng, Huaguo Liang, Bao Fang, Cuiyun Jiang, Zhengfeng Huang, Maoxiang Yi, Yingchun Lu Design of approximate Booth multipliers based on error compensation. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Paulo C. Santos 0001, Bruno E. Forlin, Marco A. Z. Alves, Luigi Carro Plug N' PIM: An integration strategy for Processing-in-Memory accelerators. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1David C. C. Freitas, Jarbas Silveira, César A. M. Marcon, Lirida A. B. Naviner, João Cesar M. Mota OPCoSA: an Optimized Product Code for space applications. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Azadeh Norouzi Kangarshahi, Abdulhamid Zahedi A novel class-E class-D doherty power amplifier based on past matching network with linearity region extension and flat output power. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Jijun Ren, Xing Wang Research on digital predistortion technique of harmonic cancellation based on volterra series. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Sehyeon Chung, Taewhan Kim ECO routing based on network flow method. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Ahmed S. Elwakil, Brent J. Maundy, Costas Psychalinos, Mohamed B. Elamien Synthesis of resonance-based common-gate fully differential band-pass filters. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Chuxi Li, Xiaoya Fan, Shengbing Zhang, Zhao Yang, Miao Wang, Danghui Wang, Meng Zhang DCNN search and accelerator co-design: Improve the adaptability between NAS frameworks and embedded platforms. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 1972 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license