The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "MTV"( http://dblp.L3S.de/Venues/MTV )

URL (DBLP): http://dblp.uni-trier.de/db/conf/mtv

Publication years (Num. hits)
2003 (18) 2004 (21) 2005 (25) 2006 (19) 2007 (18) 2008 (18) 2009 (19) 2010-2011 (27) 2012 (15) 2013 (22) 2014 (22) 2015-2016 (30) 2017 (15) 2018 (18) 2019 (15)
Publication types (Num. hits)
inproceedings(285) proceedings(17)
Venues (Conferences, Journals, ...)
MTV(302)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 18 occurrences of 18 keywords

Results
Found 302 publication records. Showing 302 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Maneesh Kumar Pandey, Shwetank Shekhar, Nitin Saxena 0003, Gaurav Kumar Agarwal, Amersh Kumar An Approach for In-House USB2.0 Electrical Compliance Testing on Nanoscale SoC. Search on Bibsonomy MTV The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Emad Samuel Malki Ebeid, Franco Fummi, Davide Quaglia Communication Alternatives Exploration in Model-Driven Design of Networked Embedded Systems. Search on Bibsonomy MTV The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Christian Miller, Christoph Scholl 0001, Bernd Becker 0001 Proving QBF-hardness in Bounded Model Checking for Incomplete Designs. Search on Bibsonomy MTV The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Emad Samuel Malki Ebeid, Franco Fummi, Davide Quaglia, Francesco Stefanni Automatic Network Protocol Synthesis from UML Sequence Diagrams. Search on Bibsonomy MTV The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Narendra Kamat IP Testing for Heterogeneous SOCs. Search on Bibsonomy MTV The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Mohamed A. Salem, Kerstin I. Eder Modified Condition Decision Coverage: A Hardware Verification Perspective. Search on Bibsonomy MTV The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Kanad Basu, Prabhat Mishra 0001, Priyadarsan Patra, Amir Nahir, Allon Adir Dynamic Selection of Trace Signals for Post-Silicon Debug. Search on Bibsonomy MTV The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Paolo Bernardi, Riccardo Cantoro, Lyl M. Ciganda Brasca, Boyang Du, Ernesto Sánchez 0001, Matteo Sonza Reorda, Michelangelo Grosso, Oscar Ballan On the Functional Test of the Register Forwarding and Pipeline Interlocking Unit in Pipelined Processors. Search on Bibsonomy MTV The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Maneesh Kumar Pandey, Atul Gupta, Shwetank Shekhar USB Validation Challenges on C45SOI & C28NM Technology Products. Search on Bibsonomy MTV The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jack L. Mason, Gregory E. Simco Target Environment Simulation and its Impact on Architecture Validation: A Case Study of Thread-Level Speculative Execution. Search on Bibsonomy MTV The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Vinayak Kamath, Farhan Rahman, Li-C. Wang Analyzing Efficacy of Constrained Test Program Generators - A Case Study. Search on Bibsonomy MTV The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Matthew L. King Practical Security Validation. Search on Bibsonomy MTV The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Brian Kahne, Jim Holt Functional Validation of a New Network Switch Architecture Using Rapid Prototyping Techniques. Search on Bibsonomy MTV The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Daniel Hansson, Heli Uronen-Hansson Measuring the Gain of Automatic Debug. Search on Bibsonomy MTV The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Rama Venkatasubramanian, Oluleye Olorode, Abhishek Arun State Retention Validation of C66X DSP Core. Search on Bibsonomy MTV The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1David Brier, Rama Venkatasubramanian, Sowmya Rangarajan, Abhishek Arun, David Thompson, Neelima Muralidharan Verification Methodology of Heterogeneous DSP+ARM Multicore Processors for Multi-core System on Chip. Search on Bibsonomy MTV The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Hoyoung Kim, Seonghun Jeong, Sunmin Kwon, Soojung Ryu Hierarchical Verification Framework for Samsung Reconfigurable Processor Video System. Search on Bibsonomy MTV The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Abhishek Basak, Sanchita Mal-Sarkar, Swarup Bhunia Secure and Trusted SoC: Challenges and Emerging Solutions. Search on Bibsonomy MTV The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Ujjwal Guin, Domenic Forte, Mohammad Tehranipoor Anti-counterfeit Techniques: From Design to Resign. Search on Bibsonomy MTV The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1 14th International Workshop on Microprocessor Test and Verification, MTV 2013, Austin, TX, USA, December 11-13, 2013 Search on Bibsonomy MTV The full citation details ... 2013 DBLP  BibTeX  RDF
1Tariq Bashir Ahmad, Maciej J. Ciesielski An Approach to Multi-core Functional Gate-Level Simulation Minimizing Synchronization and Communication Overheads. Search on Bibsonomy MTV The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Mona Safar, Magdy A. El-Moursy, Ashraf Salem Ultra-Fast DMAC TLM Model for High Speed Virtual Platform Simulation. Search on Bibsonomy MTV The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Lung-Jen Lee, Wang-Dauh Tseng, Wei-Shun Chen Two-Way Multicasting for Test Data Compression. Search on Bibsonomy MTV The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Georges Morbé, Christoph Scholl 0001 Guaranteeing Termination of Fully Symbolic Timed Forward Model Checking. Search on Bibsonomy MTV The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Biruk Mammo, Jim Larimer, Matthew Morgan, Dave Fan, Eric Hennenhoefer, Valeria Bertacco Architectural Trace-Based Functional Coverage for Multiprocessor Verification. Search on Bibsonomy MTV The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Lung-Jen Lee, Chia-Cheng He, Wang-Dauh Tseng Deterministic ATPG for Low Capture Power Testing. Search on Bibsonomy MTV The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Nicola Bombieri, Franco Fummi, Valerio Guarnieri, Graziano Pravadelli, Sara Vinco Redesign and Verification of RTL IPs through RTL-to-TLM Abstraction and TLM Synthesis. Search on Bibsonomy MTV The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Lyl M. Ciganda, Marco Gaudesi, Evelyne Lutton, Ernesto Sánchez 0001, Giovanni Squillero, Alberto Paolo Tonda Automatic Generation of On-Line Test Programs through a Cooperation Scheme. Search on Bibsonomy MTV The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Johnny J. W. Kuan, Tor M. Aamodt Progressive-BackSpace: Efficient Predecessor Computation for Post-Silicon Debug. Search on Bibsonomy MTV The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Heejun Shim, Minwook Ahn, JinSae Jung, Yenjo Han, Soojung Ryu Verification of CGRA Executable Code and Debugging of Memory Dependence Violation. Search on Bibsonomy MTV The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Lukás Charvát, Ales Smrcka, Tomás Vojnar Automatic Formal Correspondence Checking of ISA and RTL Microprocessor Description. Search on Bibsonomy MTV The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Youngchul Cho, Seonghun Jeong, J. Jeong, Heejun Shim, Yenjo Han, Soojung Ryu, Jay Kim Case Study: Verification Framework of Samsung Reconfigurable Processor. Search on Bibsonomy MTV The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Markus Mattwandel New Process to Simultaneously Measure, Quantify, and Model Energy Efficient Performance. Search on Bibsonomy MTV The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Anton Tsepurov, Valentin Tihhomirov, Maksim Jenihhin, Jaan Raik, Gunter Bartsch, Jorge Hernán Meza Escobar, Heinz-Dietrich Wuttke Localization of Bugs in Processor Designs Using zamiaCAD Framework. Search on Bibsonomy MTV The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Nicola Bombieri, Emad Samuel Malki Ebeid, Franco Fummi, Michele Lora On the Reuse of RTL IPs for SysML Model Generation. Search on Bibsonomy MTV The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Lung-Jen Lee, Wang-Dauh Tseng, Wen-Ting Yang Dual-LFSR Reseeding for Low Power Testing. Search on Bibsonomy MTV The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1 13th International Workshop on Microprocessor Test and Verification, MTV 2012, Austin, TX, USA, December 10-13, 2012 Search on Bibsonomy MTV The full citation details ... 2012 DBLP  BibTeX  RDF
1Christoph Wolf, Steffen Zeidler 0001, Milos Krstic, Rolf Kraemer Overview on ATE Test and Debugging Methods for Asynchronous Circuits. Search on Bibsonomy MTV The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Magdy S. Abadir, Jay Bhadra, Li-C. Wang (eds.) 12th International Workshop on Microprocessor Test and Verification, MTV 2011, Austin, TX, USA, December 5-7, 2011 Search on Bibsonomy MTV The full citation details ... 2011 DBLP  BibTeX  RDF
1Daecheol You, Young-Si Hwang, Youngho Ahn, Ki-Seok Chung A Test Method for Power Management of SoC-based Microprocessors. Search on Bibsonomy MTV The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Robert de B. Johnston, Ouiza Dahmoune Overview of Applying Reachability Analysis to Verifying a Physical Microprocessor. Search on Bibsonomy MTV The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Alper Sen 0001, Etem Deniz Verification Tests for MCAPI. Search on Bibsonomy MTV The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Devraj Kallappa Bakchowde, Nanda Kishore AS An Efficient Overlapping Event Generation Method for Symmetric System Testing. Search on Bibsonomy MTV The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Ernesto Sánchez 0001, Giovanni Squillero, Alberto Paolo Tonda Automatic Generation of Software-based Functional Failing Test for Speed Debug and On-silicon Timing Verification. Search on Bibsonomy MTV The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Luigi Di Guglielmo, Franco Fummi, Graziano Pravadelli Reusing of Properties after Discretization of Hybrid Automata. Search on Bibsonomy MTV The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Mona Safar, Magdy A. El-Moursy, Ashraf Salem, Mohamed Abdelsalam TLM Based Approach for Architecture Exploration of Multicore Systems-on-Chip. Search on Bibsonomy MTV The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Christian Miller, Karina Gitina, Bernd Becker 0001 Bounded Model Checking of Incomplete Real-time Systems Using Quantified SMT Formulas. Search on Bibsonomy MTV The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Sergey Sofer, Asher Berkovitz, Valery Neiman High Coverage Power Integrity Verification in PSO Domains Employing Distributed PSO Switches. Search on Bibsonomy MTV The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Kesava R. Talupuru, Sanjai Athi Achieving Glitch-Free Clock Domain Crossing Signals Using Formal Verification, Static Timing Analysis, and Sequential Equivalence Checking. Search on Bibsonomy MTV The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Oswaldo Olivo, Sandip Ray, Jayanta Bhadra, Vivekananda M. Vedula A Unified Formal Framework for Analyzing Functional and Speed-path Properties. Search on Bibsonomy MTV The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Ouiza Dahmoune, Robert de B. Johnston Model Checker to FPGA Prototype Commmunication Bottleneck Issue. Search on Bibsonomy MTV The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Ouiza Dahmoune, Robert de B. Johnston An Embedded Reachability Analyzer and Invariant Checker (ERAIC). Search on Bibsonomy MTV The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Christian Miller, Karina Gitina, Christoph Scholl 0001, Bernd Becker 0001 Bounded Model Checking of Incomplete Networks of Timed Automata. Search on Bibsonomy MTV The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Brian Keng, Andreas G. Veneris, Sean Safarpour An Automated Framework for Correction and Debug of PSL Assertions. Search on Bibsonomy MTV The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Oscar Ballan, Paolo Bernardi, Giovanni Fontana, Michelangelo Grosso, Ernesto Sánchez 0001 A Fault Grading Methodology for Software-Based Self-Test Programs in Systems-on-Chip. Search on Bibsonomy MTV The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Devraj Kallappa Bakchowde, Nanda Kishore A. S. An Efficient Event Generation Method for Testing a SOC with Multiple Processing Elements and Associated Peripherals. Search on Bibsonomy MTV The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Mauricio de Carvalho, Paolo Bernardi, Ernesto Sánchez 0001, Matteo Sonza Reorda An Enhanced Strategy for Functional Stress Pattern Generation for System-on-Chip Reliability Characterization. Search on Bibsonomy MTV The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Padmaraj Singh, David L. Landis Test Generation for CMP Designs. Search on Bibsonomy MTV The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Nathan Buchanan, Hiren D. Patel Towards a Multi-MoC Hardware/Software Co-design Framework Using Abstract State Machines. Search on Bibsonomy MTV The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Wei Sheng, Yanyan Gao 0001, Li Xi, Xuehai Zhou Schedulability Analysis for MultiCore Global Scheduling with Model Checking. Search on Bibsonomy MTV The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Hoang Minh Le 0001, Daniel Große, Rolf Drechsler Automatic Fault Localization for SystemC TLM Designs. Search on Bibsonomy MTV The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Alper Sen 0001, Baris Aksanli, Murat Bozkurt Using Graphics Processing Units for Logic Simulation of Electronic Designs. Search on Bibsonomy MTV The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Magdy S. Abadir, Jay Bhadra, Li-C. Wang (eds.) 11th International Workshop on Microprocessor Test and Verification, MTV 2010, Austin, TX, USA, December 13-15, 2010 Search on Bibsonomy MTV The full citation details ... 2010 DBLP  BibTeX  RDF
1Görschwin Fey, André Sülflow, Rolf Drechsler Towards Unifying Localization and Explanation for Automated Debugging. Search on Bibsonomy MTV The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Padmaraj Singh, David L. Landis, Vijaykrishnan Narayanan Test Generation for Precise Interrupts on Out-of-Order Microprocessors. Search on Bibsonomy MTV The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Franco Fummi, Davide Quaglia, Sara Vinco, Giovanni Perbellini, Saul Saggin Mixing Simulated and Actual Hardware Devices to Validate Device Drivers in a Complex Embedded Platform. Search on Bibsonomy MTV The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Luis Angel D. Bathen, Yongjin Ahn, Nikil D. Dutt, Sudeep Pasricha A Methodology for Power-aware Pipelining via High-Level Performance Model Evaluations. Search on Bibsonomy MTV The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Robert C. Page, Sakar Jain Verification of the CoreNet Fabric with SystemVerilog. Search on Bibsonomy MTV The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Jim Holt, Jaideep Dastidar, David Lindberg, John Pape, Peng Yang System-level Performance Verification of Multicore Systems-on-Chip. Search on Bibsonomy MTV The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Daniel Große, Hoang Minh Le 0001, Rolf Drechsler Induction-Based Formal Verification of SystemC TLM Designs. Search on Bibsonomy MTV The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Chen-Yuan Kao, Chien-Hui Liao, Charles H.-P. Wen An ILP-Based Diagnosis Framework for Multiple Open-Segment Defects. Search on Bibsonomy MTV The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1József Sziray Switch-Level Test Calculation for CMOS Circuits. Search on Bibsonomy MTV The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1 10th International Workshop on Microprocessor Test and Verification, MTV 2009, Austin, Texas, USA, 7-9 December 2009 Search on Bibsonomy MTV The full citation details ... 2009 DBLP  BibTeX  RDF
1James A. Lear Digital and Mixed-Signal Verification Differences. Search on Bibsonomy MTV The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Jing Zeng, Jing Wang, Michael Mateja A Path-Oriented Timing-Aware Diagnosis Methodology of At-Speed Transition Tests. Search on Bibsonomy MTV The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Alper Sen 0001 Mutation Operators for Concurrent SystemC Designs. Search on Bibsonomy MTV The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Richard Bartolotti, Tom Burd, Brian McMinn, Arun Chandra Constraint Management and Checking in Template-Based Circuit Designs. Search on Bibsonomy MTV The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Mona Safar, M. Watheq El-Kharashi, Mohamed Shalan, Ashraf Salem A Reconfigurable Five-Stage Pipelined SAT Solver. Search on Bibsonomy MTV The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Vyas Venkataraman, Di Wang, Wei Qin, Mrinal Bose, Jayanta Bhadra Simulation of a Heterogeneous System at Multiple Levels of Abstraction Using Rendezvous Based Modeling. Search on Bibsonomy MTV The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Shadi Moazzeni, Saadat Poormozaffari, Amin Emami An Optimized Simulation-Based Fault Injection and Test Vector Generation Using VHDL to Calculate Fault Coverage. Search on Bibsonomy MTV The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Jack L. Mason The importance of full target environment simulation tests for architecture validation. Search on Bibsonomy MTV The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Zdenek Prikryl, Karel Masarík, Tomás Hruska, Adam Husár Fast Cycle-Accurate Interpreted Simulation. Search on Bibsonomy MTV The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Nicola Bombieri, Franco Fummi, Graziano Pravadelli On the Mutation Analysis of SystemC TLM-2.0 Standard. Search on Bibsonomy MTV The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Sumit Ahuja, Deepak Mathaikutty, Sandeep K. Shukla Applying Verification Collaterals for Accurate Power Estimation. Search on Bibsonomy MTV The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Ulrich Kühne, Daniel Große, Rolf Drechsler Property Analysis and Design Understanding in a Quality-Driven Bounded Model Checking Flow. Search on Bibsonomy MTV The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Alexander Weiss, Christian Hochberger A New Methodology for the Test of SoCs and for Analyzing Elusive Failures. Search on Bibsonomy MTV The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Flavio M. de Paula, Marcel Gort, Alan J. Hu, Steven J. E. Wilton BackSpace: Moving Towards Reality. Search on Bibsonomy MTV The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1William W. Collier Testing Memory Models. Search on Bibsonomy MTV The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Thinh Ngo Enhancing Verification Efficiency via Dynamically Focused, Selective and Intrusive Transactions. Search on Bibsonomy MTV The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Paolo Bernardi, Michelangelo Grosso, Ernesto Sánchez 0001, Matteo Sonza Reorda A Deterministic Methodology for Identifying Functionally Untestable Path-Delay Faults in Microprocessor Cores. Search on Bibsonomy MTV The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Bhanu Kapoor, J. Marc Edwards, Shankar Hemmady, Shireesh Verma, Kaushik Roy 0001 Tutorial: SoC Power Management Verification and Testing Issues. Search on Bibsonomy MTV The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Sandip Ray Abstraction as a Practical Debugging Tool. Search on Bibsonomy MTV The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1 Ninth International Workshop on Microprocessor Test and Verification, MTV 2008, Austin, Texas, USA, 8-10 December 2008 Search on Bibsonomy MTV The full citation details ... 2008 DBLP  BibTeX  RDF
1Joseph W. Lyles Jr. Vertical Reuse Strategy for Testbench Components Supporting Memory Consistency Checking of an SMP-Capable AMD64 Processor. Search on Bibsonomy MTV The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Y. B. Liao, P. Li, A. W. Ruan, Y. W. Wang, W. C. Li, W. Li Hierarchy Communication Channel in Transaction-Level Hardware/Software Co-emulation System. Search on Bibsonomy MTV The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Nathan Sheeley, Nicolas Pena, Irfan Waheed, Mark H. Nodine Enhancing Sequential LEC Using a Cumulative Verification Methodology. Search on Bibsonomy MTV The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Mark H. Nodine Preparing Rearchitected Designs for Sequential Equivalence Checking. Search on Bibsonomy MTV The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Ryan Ritesh M. Pinto Power Management Verification - An Evolving Discipline. Search on Bibsonomy MTV The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Kana Murale, Scot Hildebrandt, Per Bojsen, Alfonso Urzua AMD64 Processor Front-End Verification (at Unit-Level Testbench) with Instruction Set Simulator. Search on Bibsonomy MTV The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Alan Hunter 0002, Andrew Piziali, Avi Ziv, Kelly Larson, Shankar Hemmady Ensuring Functional Closure of a Multi-core SoC through Verification Planning, Implementation and Execution. Search on Bibsonomy MTV The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 302 (100 per page; Change: )
Pages: [<<][1][2][3][4][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license