The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "NORCHIP"( http://dblp.L3S.de/Venues/NORCHIP )

URL (DBLP): http://dblp.uni-trier.de/db/conf/norchip

Publication years (Num. hits)
2011 (53) 2012 (57) 2013 (48) 2014 (52)
Publication types (Num. hits)
inproceedings(206) proceedings(4)
Venues (Conferences, Journals, ...)
NORCHIP(210)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
No Growbag Graphs found.

Results
Found 210 publication records. Showing 210 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Lucas Machado, Mayler G. A. Martins, Vinicius Callegaro, Renato P. Ribas, André Inácio Reis KL-cut based digital circuit remapping. Search on Bibsonomy NORCHIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Mark Ruvald Pedersen, Jan Madsen Optimal register allocation by augmented left-edge algorithm on arbitrary control-flow structures. Search on Bibsonomy NORCHIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Imad ud Din, Johan Wernehag, Stefan Back Andersson, Sven Mattisson Wideband Reconfigurable Capacitive shunt-feedback LNA in 65nm CMOS. Search on Bibsonomy NORCHIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Peteris Misans, Uldis Derums, Vents Kanders FPGA implementation of elementary generalized unitary rotation with CORDIC based architecture. Search on Bibsonomy NORCHIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Joakim Alvbrant, J. Jacob Wikner Study and simulation of an example redundant FIR filter. Search on Bibsonomy NORCHIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Tuan Vu Cao, Snorre Aunet, Trond Ytterdal A 9-bit 50MS/s asynchronous SAR ADC in 28nm CMOS. Search on Bibsonomy NORCHIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Nowshad Painda Mand, Francesco Robino, Johnny Öberg Artificial neural network emulation on NOC based multi-core FPGA platform. Search on Bibsonomy NORCHIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Kristian Gjertsen Kjelgård, Tor Sverre Lande A 26 GHz UWB CMOS IR-UWB transmitter with on-chip balun. Search on Bibsonomy NORCHIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1S. Balasubramanian, Waleed Khalil Architectural trends in GHz speed DACs. Search on Bibsonomy NORCHIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Sima Payami, Amin Ojani An operational amplifier for high performance pipelined ADCs in 65nm CMOS. Search on Bibsonomy NORCHIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Rasmus Bo Sørensen, Martin Schoeberl, Jens Sparsø A light-weight statically scheduled network-on-chip. Search on Bibsonomy NORCHIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Fatemeh Khalili, Hamid R. Zarandi A fault-aware low-energy spare core allocation in networks-on-chip. Search on Bibsonomy NORCHIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Vahid Saljooghi, Alen Bardizbanyan, Magnus Själander, Per Larsson-Edefors Configurable RTL model for level-1 caches. Search on Bibsonomy NORCHIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Wolfgang Gut, Gerald Hilber, Dominik Gruber, Manuel Kaufmann, Andreas Rauchenecker, Timm Ostermann Low power Real Time Clock with high accuracy over large supply voltage range. Search on Bibsonomy NORCHIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Deena M. Zamzam, Mohamed A. Abd El-Ghany, Klaus Hofmann Performability of error control schemes for NOC interconnects. Search on Bibsonomy NORCHIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Ping Lu 0002, Pietro Andreani, Antonio Liscidini A 90nm CMOS gated-ring-oscillator-based 2-dimension Vernier time-to-digital converter. Search on Bibsonomy NORCHIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Stefan Hauser, Nico Moser, Ben H. H. Juurlink SynZEN: A hybrid TTA/VLIW architecture with a distributed register file. Search on Bibsonomy NORCHIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Ivan H. H. Jørgensen Challenges in IC design for hearing aids. Search on Bibsonomy NORCHIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Jia Sun, Timo Rahkonen, Marko Neitola Behavioral modeling of nonlinear settling for multiple cascaded SC stages. Search on Bibsonomy NORCHIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Francesca Cucchi, Stefano Di Pascoli, Giuseppe Iannaccone Variability-aware design of 55 nA current reference with 1.4% standard deviation and 290 nW power consumption. Search on Bibsonomy NORCHIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Mitesh Yogesh, Puneet Sareen, Markus Dietl, Ketan Dewan A 2.5 GHz self-compensated, bandwidth tracking PLL with 0.8 ps jitter. Search on Bibsonomy NORCHIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Lauri Matilainen, Sakari Lahti, Otto Esko, Erno Salminen, Timo D. Hämäläinen Integration of TTA processor tools to Kactus2 IP-XACT design flow. Search on Bibsonomy NORCHIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Ahmed Shalaby 0001, Mohamed El-Sayed Ragab, Victor Goulart Intermediate nodes selection schemes for Network Coding in Network-on-Chips. Search on Bibsonomy NORCHIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Oliver Schrape, Frank Vater Embedded low power clock generator for sensor nodes. Search on Bibsonomy NORCHIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Iracu O. Santos, Alba Sandyra Bezerra Lopes, Bruno M. Carvalho 0001, Edgard de Faria Corrêa, Márcio Eduardo Kreutz H.264/AVC motion estimation on FPGAs and GPUs: A comparative study. Search on Bibsonomy NORCHIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Raimund Ubar, Viljar Indus, Oliver Kalmend, Teet Evartson, Elmet Orasson Functional Built-In Self-Test for processor cores in SoC. Search on Bibsonomy NORCHIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Quoc-Tai Duong, Jerzy J. Dabrowski Wideband RF detector design for high performance on-chip test. Search on Bibsonomy NORCHIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Chenxin Zhang, Hemanth Prabhu, Liang Liu 0002, Ove Edfors, Viktor Öwall Energy efficient MIMO channel pre-processor using a low complexity on-line update scheme. Search on Bibsonomy NORCHIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Vinícius Dal Bem, André Inácio Reis, Renato P. Ribas Lithography analysis of via-configurable transistor-array fabrics. Search on Bibsonomy NORCHIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Jing Zhou, Zengrong Liu, Lei Chen 0010, Shuo Wang, Zhiping Wen 0001, Xun Chen, Chang Qi An accurate fault location method based on configuration bitstream analysis. Search on Bibsonomy NORCHIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Jonas Stenbaek Hegner, Joakim Sindholt, Alberto Nannarelli Design of power efficient FPGA based hardware accelerators for financial applications. Search on Bibsonomy NORCHIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Nadeem Afzal, J. Jacob Wikner Power efficient arrangement of oversampling sigma-delta DAC. Search on Bibsonomy NORCHIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Ali Fazli Yeknami, Atila Alvandpour A 2.1 µW 76 dB SNDR DT-ΔΣ modulator for medical implant devices. Search on Bibsonomy NORCHIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Elias Bakken, Tor Sverre Lande, Sverre Holm Effect of process variations in CMOS chips for radar beamforming. Search on Bibsonomy NORCHIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Iason Filippopoulos, Francky Catthoor, Per Gunnar Kjeldsberg, Elena Hammari, Jos Huisken Memory-aware system scenario approach energy impact. Search on Bibsonomy NORCHIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Behrad Niazmand, Midia Reshadi, Akram Reza PathAware: A contention-aware selection function for application-specific Network-On-Chips. Search on Bibsonomy NORCHIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Vladimir M. Milovanovic, Horst Zimmermann Analyses of single-stage complementary self-biased CMOS differential amplifiers. Search on Bibsonomy NORCHIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Mehran Baboli, Olga Boric-Lubecke, Victor Lubecke Heart and respiratory detection and simulations for tracking humans based on respiration by using pulse-based radar. Search on Bibsonomy NORCHIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Haoyuan Ying, Kris Heid, Thomas Hollstein, Klaus Hofmann A genetic algorithm based optimization method for low vertical link density 3-dimensional Networks-on-Chip many core systems. Search on Bibsonomy NORCHIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Felice Francesco Tafuri, Cataldo Guaragnella, Marco Fiore, Torben Larsen Linearization of RF power amplifiers using an enhanced memory polynomial predistorter. Search on Bibsonomy NORCHIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Shailesh Singh Chouhan, Kari Halonen A Novel on-chip ultra-low power temperature sensing scheme. Search on Bibsonomy NORCHIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Prakash Harikumar, Muhammad Irfan Kazim, J. Jacob Wikner An analog receiver front-end for capacitive body-coupled communication. Search on Bibsonomy NORCHIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Azam Seyedi, Adrià Armejach, Adrián Cristal, Osman S. Unsal, Mateo Valero Novel SRAM bias control circuits for a low power L1 data cache. Search on Bibsonomy NORCHIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Wei Wei, Jan H. Mikkelsen, Ole Kiel Jensen Deembedding static nonlinearities of power amplifiers using least square error algorithm. Search on Bibsonomy NORCHIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Saif Uddin, Johnny Öberg Testing of an off-chip NoC protocol using a BIST/Synthesizable Testbench approach. Search on Bibsonomy NORCHIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Shanthi Sudalaiyandi, Tor Sverre Lande A continuous-time IR-UWB RAKE receiver for coherent symbol detection. Search on Bibsonomy NORCHIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1 NORCHIP 2012, Copenhagen, Denmark, November 12-13, 2012 Search on Bibsonomy NORCHIP The full citation details ... 2012 DBLP  BibTeX  RDF
1Dag T. Wisland Nanoscale CMOS impulse radar - from research to product. Search on Bibsonomy NORCHIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Andrea Simonetti A measurement technique for the vibrating wire sensors. Search on Bibsonomy NORCHIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Daniel Svard, Christer Jansson, Atila Alvandpour A readout circuit for an uncooled IR camera with mismatch and self-heating compensation. Search on Bibsonomy NORCHIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Maris Terauds Implementation of FPGA based DSP module for CW Doppler radar: Preliminary results. Search on Bibsonomy NORCHIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Eirik Steen-Hansen, Trond Ytterdal Modeling and design of a dual-residue pipelined ADC in 130nm CMOS. Search on Bibsonomy NORCHIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Hanspeter Schmid Electrical and human feedback. Search on Bibsonomy NORCHIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Jan Madsen Biochips: The integrated circuit of biology. Search on Bibsonomy NORCHIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Jia Mao, Zhuo Zou, David Sarmiento M., Fredrik Jonsson, Li-Rong Zheng 0001 A power scalable and high pulse swing UWB transmitter for wirelessly-powered RFID applications. Search on Bibsonomy NORCHIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Srinivasa Reddy Kuppireddi, Sayanu Pamidighantam, V. Janardhana, Oddvar Søråsen, J. S. Roy, R. G. Kulkarn Evaluation of SU8 photo polymer for microwave packaging applications. Search on Bibsonomy NORCHIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Dipak S. Marathe A survey on mixed operating mode/self synchronization. Search on Bibsonomy NORCHIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Mohammed Hassan, Horst Zimmermann An 85dB dynamic range transimpedance amplifier in 40nm CMOS technology. Search on Bibsonomy NORCHIP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Nicolas Borup, Jonas Dindorp, Alberto Nannarelli FPGA implementation of decimal processors for hardware acceleration. Search on Bibsonomy NORCHIP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1 2011 NORCHIP, Lund, Sweden, November 14-15, 2011 Search on Bibsonomy NORCHIP The full citation details ... 2011 DBLP  BibTeX  RDF
1Deena M. Zamzam, Mohamed A. Abd El-Ghany, Klaus Hofmann, Mohammad Ismail 0001 Highly reliable and power efficient NOC interconnects. Search on Bibsonomy NORCHIP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Ronald Spilka, Dominik Gruber, Timm Ostermann Use of a calibrated voltage reference to enhance the performance of switched capacitor sigma-delta ADCs over process corner. Search on Bibsonomy NORCHIP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Ying Wu 0003, Ping Lu 0002, Pietro Andreani A digital PLL with a multi-delay coarse-fine TDC. Search on Bibsonomy NORCHIP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Kin Keung Lee, Håkon A. Hjortland, Tor Sverre Lande IR-UWB technology on next generation RFID systems. Search on Bibsonomy NORCHIP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Brad R. Jackson, Carlos E. Saavedra A divide-by-three regenerative frequency divider using a subharmonic mixer. Search on Bibsonomy NORCHIP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Xiaodong Liu, Vijay Viswam, Stefan Back Andersson, Johan Wernehag, Imad ud Din, Pietro Andreani Highly linear direct conversion receiver using customized on-chip balun. Search on Bibsonomy NORCHIP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Petter Kallstrom, Oscar Gustafsson Magnitude scaling for increased SFDR in DDFS. Search on Bibsonomy NORCHIP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Shahzad Ahmad Butt, Luciano Lavagno Model-based rapid prototyping of multirate digital signal processing algorithms. Search on Bibsonomy NORCHIP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Andreas Thor Winther, Wei Liu 0016, Alberto Nannarelli, Sarma B. K. Vrudhula Temperature dependent wire delay estimation in floorplanning. Search on Bibsonomy NORCHIP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Mojtaba Valinataj, Pasi Liljeberg, Juha Plosila A fault-tolerant and hierarchical routing algorithm for NoC architectures. Search on Bibsonomy NORCHIP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Yelin Wang, Daniel Sira, Troels Studsgaard Nielsen, Ole K. Jensen, Torben Larsen On wafer X-parameter based modeling of a switching cascode power amplifier. Search on Bibsonomy NORCHIP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Syed Iftekhar Ali, Md. Shafiqul Islam A novel low-energy match line sensing scheme for ternary content addressable memory using charge sharing. Search on Bibsonomy NORCHIP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Johannes Uhlig, René Schüffny An empirical study of the stability of 4th-order Incremental-ΣΔ-ADCs. Search on Bibsonomy NORCHIP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Yevgen Borokhovych, Christoph Scheytt 10 GS/s 8-bit bipolar THA in SiGe technology. Search on Bibsonomy NORCHIP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Johanna Anteroinen, Wonjae Kim, Kari Stadius, Juha Riikonen, Harri Lipsanen, Jussi Ryynänen Electrical properties of CVD-graphene FETs. Search on Bibsonomy NORCHIP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Tero Koivisto, Esa Tiiliharju, Peter Virta Injection-locked superharmonic self-oscillating mixer. Search on Bibsonomy NORCHIP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Muhammad Shakir, Mohammed Abdulaziz, Ping Lu 0002, Pietro Andreani A mixed mode design flow for multi GHz ADPLLs. Search on Bibsonomy NORCHIP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Reza Meraji, John B. Anderson, Henrik Sjöland, Viktor Öwall Transistor sizing for a 4-state current mode analog channel decoder in 65-nm CMOS. Search on Bibsonomy NORCHIP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Anders Nejdel, Markus Törmänen, Henrik Sjöland A linearized 1.6-5 GHz low noise amplifier using positive feedback in 65 nm CMOS. Search on Bibsonomy NORCHIP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Denys I. Martynenko, Gunter Fischer, Oleksiy Klymenko Low power programmable frequency divider for IEEE 802.15.4a standard. Search on Bibsonomy NORCHIP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Lin Zhu, Martin Liliebladh Comparison and IIP2 analysis of two wideband Balun-LNAs designed in 65nm CMOS. Search on Bibsonomy NORCHIP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Vivek Elangovan, Markus Dietl, Puneet Sareen Very high bandwidth semi-digital PLL with large operating frequency range. Search on Bibsonomy NORCHIP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Felice Francesco Tafuri, Daniel Sira, Troels Studsgaard Nielsen, Ole Kiel Jensen, Torben Larsen Wideband limit study of a GaN power amplifier using two-tone measurements. Search on Bibsonomy NORCHIP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Tobias Tired, Pietro Andreani Single-ended low noise multiband LNA with programmable integrated matching and high isolation switches. Search on Bibsonomy NORCHIP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Khalid Latif 0002, Amir-Mohammad Rahmani, Tiberiu Seceleanu, Hannu Tenhunen A low-cost processing element recovery mechanism for fault tolerant Networks-on-Chip. Search on Bibsonomy NORCHIP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Daniel Sira, Torben Larsen Modeling of cascode modulated power amplifiers. Search on Bibsonomy NORCHIP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Christian Schuss, Timo Rahkonen Adaptive photovoltaic cell simulation with maximum power point tracking simulation for accurate energy predictions. Search on Bibsonomy NORCHIP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Oskar Andersson, S. M. Yasser Sherazi, Joachim Neves Rodrigues Impact of switching activity on the energy minimum voltage for 65 nm sub-VT CMOS. Search on Bibsonomy NORCHIP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Amir H. Miremadi, Ahmad Ayatollahi, Adib Abrishamifar A low voltage low power CMOS analog multiplier. Search on Bibsonomy NORCHIP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Michael Opoku Agyeman, Ali Ahmadinia An adaptive router architecture for heterogeneous 3D Networks-on-Chip. Search on Bibsonomy NORCHIP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Seyyed Hassan Khalilinezhad, Akram Reza, Midia Reshadi Yield modeling and yield-aware mapping for application specific networks-on-chip. Search on Bibsonomy NORCHIP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Johan Löfgren, Peter Nilsson 0001 On hardware implementation of radix 3 and radix 5 FFT kernels for LTE systems. Search on Bibsonomy NORCHIP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Syed Asad Alam, Oscar Gustafsson Implementation of narrow-band frequency-response masking for efficient narrow transition band FIR filters on FPGAs. Search on Bibsonomy NORCHIP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Muhammad Abbas, Oscar Gustafsson Computational and implementation complexity of polynomial evaluation schemes. Search on Bibsonomy NORCHIP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Jiajia Jiao, Yuzhuo Fu, Jiang Jiang Architecture-level analysis and evaluation of transient errors on NoC. Search on Bibsonomy NORCHIP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Andrea Bevilacqua, Pietro Andreani A 2.7-6.1GHz CMOS local oscillator based on frequency multiplication by 3/2. Search on Bibsonomy NORCHIP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Olli Kursu, Timo Rahkonen Charge scaling 10-bit successive approximation A/D converter with reduced input capacitance. Search on Bibsonomy NORCHIP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Matthew J. Turnquist, Erkka Laulainen, Jani Mäkipää, Lauri Koskinen Measurement of a system-adaptive error-detection sequential circuit with subthreshold SCL. Search on Bibsonomy NORCHIP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Ying Wu 0003, Xiaodong Liu, Dawei Ye, Vijay Viswam, Lin Zhu, Ping Lu 0002, Dejan Radjen, Henrik Sjöland A 0.13µm CMOS ΔΣ PLL FM transmitter. Search on Bibsonomy NORCHIP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Luca Fanori, Pietro Andreani Dynamic bias schemes for class-C VCOs. Search on Bibsonomy NORCHIP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 210 (100 per page; Change: )
Pages: [<<][1][2][3][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license