The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for NoC with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1992-2003 (34) 2004 (54) 2005 (102) 2006 (133) 2007 (193) 2008 (189) 2009 (153) 2010 (156) 2011 (170) 2012 (153) 2013 (176) 2014 (149) 2015 (162) 2016 (138) 2017 (138) 2018 (132) 2019 (107) 2020 (100) 2021 (87) 2022 (74) 2023 (68) 2024 (6)
Publication types (Num. hits)
article(678) book(1) incollection(23) inproceedings(1948) phdthesis(24)
Venues (Conferences, Journals, ...)
NOCS(129) DATE(124) ISCAS(72) DSD(67) DAC(63) ISVLSI(53) SBCCI(53) CoRR(49) IEEE Trans. Very Large Scale I...(41) SoCC(39) ASP-DAC(37) IEEE Trans. Comput. Aided Des....(37) Microprocess. Microsystems(37) CODES+ISSS(35) NoCArc@MICRO(34) ICCD(33) More (+10 of total 510)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1003 occurrences of 375 keywords

Results
Found 2678 publication records. Showing 2674 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
43Praveen Vellanki, Nilanjan Banerjee, Karam S. Chatha Quality-of-service and error control techniques for network-on-chip architectures. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF quality-of-service, performance, networks, networks-on-chip, interconnection, power, error detection, correction
43Tang Lei, Shashi Kumar A Two-step Genetic Algorithm for Mapping Task Graphs to a Network on Chip Architecture. Search on Bibsonomy DSD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
43Rickard Holsmark, Magnus Högberg, Shashi Kumar Modelling and Evaluation of a Network on Chip Architecture Using SDL. Search on Bibsonomy SDL Forum The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
43Ozcan Ozturk 0001, Mahmut T. Kandemir, Mary Jane Irwin, Sri Hari Krishna Narayanan Compiler directed network-on-chip reliability enhancement for chip multiprocessors. Search on Bibsonomy LCTES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF reliability, compiler, noc, chip multiprocessors
43Mehdi Modarressi, Hamid Sarbazi-Azad, Arash Tavakkol An efficient dynamically reconfigurable on-chip network architecture. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF performance, reconfigurable, topology, power, NoC
43Tobias Bjerregaard, Shankar Mahadevan A survey of research and practices of Network-on-chip. Search on Bibsonomy ACM Comput. Surv. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Chip-area networks, GSI design, OCP, ULSI design, communication-centric design, SoC, system-on-chip, network-on-chip, interconnects, NoC, GALS, sockets, on-chip communication, communication abstractions
42Seung Eun Lee, Jun Ho Bahn, Yoon Seok Yang, Nader Bagherzadeh A Generic Network Interface Architecture for a Networked Processor Array (NePA). Search on Bibsonomy ARCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Networked Processor Array (NePA), Multiprocessor System-on-Chip (MPSoC), Interconnection Network, Network Interface, Network-on-Chip (NoC)
42Jongman Kim, Chrysostomos Nicopoulos, Dongkook Park, Reetuparna Das, Yuan Xie 0001, Narayanan Vijaykrishnan, Mazin S. Yousif, Chita R. Das A novel dimensionally-decomposed router for on-chip communication in 3D architectures. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF 3D architecture, 3D integration, network-on-chip (NoC)
41Grant Martin Book Reviews: NoC, NoC ... Who's there? Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF technology and tools, networks, NoC
40Alberto Giordano, Tim Cole, Maël Le Noc Spatial social networks for the humanities: A visualization and analytical model. Search on Bibsonomy Trans. GIS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
40Denis Dufour, Loïc Le Noc, Bruno Tremblay, Mathieu N. Tremblay, Francis Généreux, Marc Terroux, Carl Vachon, Melanie J. Wheatley, Joshua M. Johnston, Mike Wotton, Patrice Topart A Bi-Spectral Microbolometer Sensor for Wildfire Measurement. Search on Bibsonomy Sensors The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
40Yihong Yuan, Maël Le Noc Exploring Urban Mobility from Taxi Trajectories: A Case Study of Nanjing, China. Search on Bibsonomy DATA The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
40Manca Noc, Maja Zumer Eliciting mental models of music resources: a research agenda. Search on Bibsonomy Inf. Res. The full citation details ... 2014 DBLP  BibTeX  RDF
40Manca Noc, Maja Zumer The completeness of articles and citation in the Slovene Wikipedia. Search on Bibsonomy Program The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
40Martin Rauber, Dusan Stajer, Marko Noc, Todd T. Schlegel, Vito Starc High Resolution ECG Differences between Hospital Survivors and Non-survivors of Out-of-Hospital Cardiac Arrest during Mild Therapeutic Hypothermia. (PDF / PS) Search on Bibsonomy CinC The full citation details ... 2014 DBLP  BibTeX  RDF
40Martin Rauber, Dusan Stajer, Marko Noc, Todd T. Schlegel, Vito Starc High resolution ECG Changes in Survivors of Out-of-Hospital Cardiac Arrest during and after Mild Therapeutic Hypothermia. (PDF / PS) Search on Bibsonomy CinC The full citation details ... 2013 DBLP  BibTeX  RDF
40Timothy D. Pope, Christine Alain, Loïc Le Noc, Hubert Jerominek, L. Ngo Phong, W. Zheng Performance of a 512x3 Pixel Microbolometer Detector for Space Imaging Applications. Search on Bibsonomy ICMENS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
40Samir Ilias, Patrice Topart, Sébastien Leclair, Jean-Sébastien Côté, Loïc Le Noc, Francis Picard, Hubert Jerominek Novel Electroplating-Based Technology for the Fabrication of Giant Micromirrors for Space and Terrestrial Applications. Search on Bibsonomy ICMENS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
40L. Ngo Phong, J. Lee, I. Ressejac, M. Maszkiewicz, W. Zheng, S. Crisan, Loïc Le Noc, Francis Picard, Hubert Jerominek Closed Loop Micromanipulators for Optical Metrology. Search on Bibsonomy ICMENS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
38Bruce Mathewson The evolution of SOC interconnect and how NOC fits within it. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF AMBA AXI, network on chip
38Radu Marculescu, Ümit Y. Ogras, Li-Shiuan Peh, Natalie D. Enright Jerger, Yatin Vasant Hoskote Outstanding Research Problems in NoC Design: System, Microarchitecture, and Circuit Perspectives. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
38Simone Medardoni, Marcello Lajolo, Davide Bertozzi Variation tolerant NoC design by means of self-calibrating links. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
38Hung-Chih Lai, Radu Marculescu, Marios Savvides, Tsuhan Chen Communication-Aware Face Detection Using Noc Architecture. Search on Bibsonomy ICVS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Network-on-Chip, Face detection, Hardware Architecture
38Ruchika Verma, Ali Akoglu A coarse grained and hybrid reconfigurable architecture with flexible NoC router for variable block size motion estimation. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
38Mahmoud Moadeli, Wim Vanderbauwhede, Ali Shahrabi A Performance Model of Communication in the Quarc NoC. Search on Bibsonomy ICPADS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
38Song Chai, Chang Wu, Yubai Li, Zhongming Yang A NoC Simulation and Verification Platform Based on SystemC. Search on Bibsonomy CSSE (3) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
38Jean-Philippe Diguet, Samuel Evain, Romain Vaslin, Guy Gogniat, Emmanuel Juin NOC-centric Security of Reconfigurable SoC. Search on Bibsonomy NOCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
38Cedric Koch-Hofer, Marc Renaudin, Yvain Thonnart, Pascal Vivet ASC, a SystemC Extension for Modeling Asynchronous Systems, and Its Application to an Asynchronous NoC. Search on Bibsonomy NOCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
38Daniel Greenfield, Arnab Banerjee, Jeong-Gun Lee, Simon W. Moore Implications of Rent's Rule for NoC Design and Its Fault-Tolerance. Search on Bibsonomy NOCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
38Antonio Pullini, Federico Angiolini, Paolo Meloni, David Atienza, Srinivasan Murali, Luigi Raffo, Giovanni De Micheli, Luca Benini NoC Design and Implementation in 65nm Technology. Search on Bibsonomy NOCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
38Luciano Bononi, Nicola Concer, Miltos D. Grammatikakis, Marcello Coppola, Riccardo Locatelli NoC Topologies Exploration based on Mapping and Simulation Models. Search on Bibsonomy DSD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
38Fawnizu Azmadi Hussin, Tomokazu Yoneda, Hideo Fujiwara Optimization of NoC Wrapper Design under Bandwidth and Test Time Constraints. Search on Bibsonomy ETS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
38Mahdiar Hosein Ghadiry, Mahdieh Nadi Senjani, M. T. Manzuri-Shalmani, Dara Rahmati Effect of number of faults on NoC power and performance. Search on Bibsonomy ICPADS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
38Ben A. Abderazek, Mushfiquzzaman Akanda, Tsutomu Yoshinaga, Masahiro Sowa Mathematical Model for Multiobjective Synthesis of NoC Architectures. Search on Bibsonomy ICPP Workshops The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
38Sumit D. Mediratta, Jeffrey T. Draper Characterization of a Fault-tolerant NoC Router. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
38Huy Nam Nguyen, Vu-Duc Ngo, Younghwan Bae, Hanjin Cho, Hae-Wook Choi An QoS Aware Mapping of Cores Onto NoC Architectures. Search on Bibsonomy ISPA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
38Luca Benini Application specific NoC design. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF application-specific integrated systems, systems on chip, networks on chip, design methodologies
38Balasubramanian Sethuraman, Ranga Vemuri optiMap: a tool for automated generation of noc architectures using multi-port routers for FPGAs. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
38Frédéric Pétrot, Alain Greiner, Pascal Gomez On Cache Coherency and Memory Consistency Issues in NoC Based Shared Memory Multiprocessor SoC Architectures. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
38Guangyu Chen, Feihui Li, Mahmut T. Kandemir, Mary Jane Irwin Reducing NoC energy consumption through compiler-directed channel voltage scaling. Search on Bibsonomy PLDI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF compiler, network-on-chip, energy
38Cristian Grecu, André Ivanov, Res Saleh, Egor S. Sogomonyan, Partha Pratim Pande On-line Fault Detection and Location for NoC Interconnects. Search on Bibsonomy IOLTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
38Yuanfang Hu, Yi Zhu 0002, Hongyu Chen, Ronald L. Graham, Chung-Kuan Cheng Communication latency aware low power NoC synthesis. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF network-on-chip, topology, power, latency
38Edith Beigné, Fabien Clermidy, Pascal Vivet, Alain Clouard, Marc Renaudin An Asynchronous NOC Architecture Providing Low Latency Service and Its Multi-Level Design Framework. Search on Bibsonomy ASYNC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
38Ümit Y. Ogras, Jingcao Hu, Radu Marculescu Key research problems in NoC design: a holistic perspective. Search on Bibsonomy CODES+ISSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Networks-on-Chip motivation, problem formulation, proposed solutions and open research problems, systems-on-chip, multi-processor systems
38Cristian Grecu, Partha Pratim Pande, Baosheng Wang, André Ivanov, Res Saleh Methodologies and Algorithms for Testing Switch-Based NoC Interconnects. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
38Srinivasan Murali, Giovanni De Micheli Bandwidth-Constrained Mapping of Cores onto NoC Architectures. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF routing, Systems on Chips, mapping, Networks on Chips, bandwidth, cores
38Sílvio R. F. de Fernandes, Bruno Cruz de Oliveira, Ivan Saraiva Silva Using NoC routers as processing elements. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF SoC, system-on-chip, network-on-chip, routing algorithm, NoC, MP-SoC
38Ciprian Seiculescu, Srinivasan Murali, Luca Benini, Giovanni De Micheli NoC topology synthesis for supporting shutdown of voltage islands in SoCs. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF shutdown, topology, NoC, leakage power, voltage islands
38Shankar Neelkrishnan, Mei Yang, Yingtao Jiang, Lei Zhang 0014, Yulu Yang, Enyue Lu, Xiao-chun Yun Design and Implementation of a Parameterized NoC Router and its Application to Build PRDT-Based NoCs. Search on Bibsonomy ITNG The full citation details ... 2008 DBLP  DOI  BibTeX  RDF PRDT, router, NoC
38Oreste Villa, Gianluca Palermo, Cristina Silvano Efficiency and scalability of barrier synchronization on NoC based many-core architectures. Search on Bibsonomy CASES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF scalability, synchronization, efficiency, Multicore, NoC, barrier, Manycore
37Guangyu Chen, Feihui Li, Mahmut T. Kandemir Compiler-directed application mapping for NoC based chip multiprocessors. Search on Bibsonomy LCTES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF compilers, power optimization, Network-on-Chip (NoC), application mapping
37Seung Eun Lee, Nader Bagherzadeh Increasing the throughput of an adaptive router in network-on-chip (NoC). Search on Bibsonomy CODES+ISSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF adaptive router, interconnection network, chip-multiprocessor, wormhole routing, network-on-chip (NoC)
36Riad Ben Mouhoub, Omar Hammami NoC Monitoring Hardware Support for Fast NoC Design Space Exploration and Potential NoC Partial Dynamic Reconfiguration. Search on Bibsonomy IES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Jason Lee, Lesley Shannon Predicting the performance of application-specific NoCs implemented on FPGAs. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF performance, FPGAs, topologies, heterogeneous, NoCs, homogeneous, application-specific, routability
35Jason Cong, Chunyue Liu, Glenn Reinman ACES: application-specific cycle elimination and splitting for deadlock-free routing on irregular network-on-chip. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF application-specific Network-on-Chip, deadlock-free routing
35Xiang Zhang, Ahmed Louri A multilayer nanophotonic interconnection network for on-chip many-core communications. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF interconnection networks, CMP, 3D, silicon photonics
35Maurizio Palesi, Rickard Holsmark, Shashi Kumar, Vincenzo Catania Application Specific Routing Algorithms for Networks on Chip. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
35Luciano Ost, Guilherme Montez Guindani, Leandro Soares Indrusiak, Cezar Reinbrecht, Thiago Raupp da Rosa, Fernando Moraes 0001 A high abstraction, high accuracy power estimation model for networks-on-chip. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF high abstraction modeling, networks-on-chip, power modeling
35Sai Prashanth Muralidhara, Mahmut T. Kandemir Communication Based Proactive Link Power Management. Search on Bibsonomy HiPEAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
35Amlan Ganguly, Partha Pratim Pande, Benjamin Belzer, Cristian Grecu Design of Low Power & Reliable Networks on Chip Through Joint Crosstalk Avoidance and Multiple Error Correction Coding. Search on Bibsonomy J. Electron. Test. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Crosstalk avoidance, Multiple error correction, Joint codes, Low power, Network on Chip, Transient errors
35Ümit Y. Ogras, Radu Marculescu Analysis and optimization of prediction-based flow control in networks-on-chip. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF congestion control, networks-on-chip, flow control, Multi-processor systems
35Suboh A. Suboh, Mohamed Bakhouya, Jaafar Gaber, Tarek A. El-Ghazawi An interconnection architecture for network-on-chip systems. Search on Bibsonomy Telecommun. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Switching and routing, Network on chip, Network analysis, Modeling and simulation, On-chip interconnects
35Bart Vermeulen, Kees Goossens, Siddharth Umrani Debugging Distributed-Shared-Memory Communication at Multiple Granularities in Networks on Chip. Search on Bibsonomy NOCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF communication-centric debug, debug, network-on-chip, design for debug
35Xiao-Jing Zhu, Hongbo Zeng, Kun Huang, Ge Zhang Round-robin based scheduling algorithms for FIFO IQ switch. Search on Bibsonomy ICNSC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
35Hsin-Chou Chi, Chia-Ming Wu, Jun-Hui Lee Integrated Mapping and Scheduling for Circuit-Switched Network-on-Chip Architectures. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF network-on-chip architectures, scheduling, mapping, circuit-switched networks
35Arun Janarthanan, Karen A. Tomko MoCSYS: A Multi-Clock Hybrid Two-Layer Router Architecture and Integrated Topology Synthesis Framework for System-Level Design of FPGA Based On-Chip Networks. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
35Cristian Grecu, André Ivanov, Resve A. Saleh, Partha Pratim Pande Testing Network-on-Chip Communication Fabrics. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Xuan-Tu Tran, Jean Durupt, Yvain Thonnart, François Bertrand, Vincent Beroulle, Chantal Robach Implementation of a Design-for-Test Architecture for Asynchronous Networks-on-Chip. Search on Bibsonomy NOCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Andreas Hansson 0001, Kees Goossens Trade-offs in the Configuration of a Network on Chip for Multiple Use-Cases. Search on Bibsonomy NOCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Amlan Ganguly, Partha Pratim Pande, Benjamin Belzer, Cristian Grecu Addressing Signal Integrity in Networks on Chip Interconnects through Crosstalk-Aware Double Error Correction Coding. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Nikolaos Zompakis, Lazaros Papadopoulos, Georgios Ch. Sirakoulis, Dimitrios Soudris Implementing cellular automata modeled applications on network-on-chip platforms. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Wei-Hsuan Hung, Yi-Jung Chen, Chia-Lin Yang, Yen-Sheng Chang, Alan P. Su An architectural co-synthesis algorithm for energy-aware network-on-chip design. Search on Bibsonomy SAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Andreas Hansson 0001, Martijn Coenen, Kees Goossens Undisrupted quality-of-service during reconfiguration of multiple applications in networks on chip. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Jan Willem van den Brand, Calin Ciordas, Kees Goossens, Twan Basten Congestion-controlled best-effort communication for networks-on-chip. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Akash Kumar 0001, Andreas Hansson 0001, Jos Huisken, Henk Corporaal Interactive presentation: An FPGA design flow for reconfigurable network-based multi-processor systems on chip. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Andreas Ehliar, Dake Liu An fpga based open source network-on-chip architecture. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Stephan Kubisch, Claas Cornelius, Ronald Hecht, Dirk Timmermann Mapping a Pipelined Data Path onto a Network-on-Chip. Search on Bibsonomy SIES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Henrique C. Freitas, Dalton M. Colombo, Fernanda Lima Kastensmidt, Philippe Olivier Alexandre Navaux Evaluating Network-on-Chip for Homogeneous Embedded Multiprocessors in FPGAs. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Avinash Karanth Kodi, Ashwini Sarathy, Ahmed Louri Design of adaptive communication channel buffers for low-power area-efficient network-on-chip architecture. Search on Bibsonomy ANCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF network-on-chip, low-power design
35Vassos Soteriou, Hangsheng Wang, Li-Shiuan Peh A Statistical Traffic Model for On-Chip Interconnection Networks. Search on Bibsonomy MASCOTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Feihui Li, Mahmut T. Kandemir, Ibrahim Kolcu Exploiting Software Pipelining for Network-on-Chip architectures. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Luciano Bononi, Nicola Concer Simulation and analysis of network on chip architectures: ring, spidergon and 2D mesh. Search on Bibsonomy DATE Designers' Forum The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Srinivasan Murali, Martijn Coenen, Andrei Radulescu, Kees Goossens, Giovanni De Micheli A methodology for mapping multiple use-cases onto networks on chips. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF dynamic re-configuration, systems on chips, networks on chips, use-cases, modes
35Mikkel Bystrup Stensgaard, Tobias Bjerregaard, Jens Sparsø, Johnny Halkjær Pedersen A Simple Clockless Network-on-Chip for a Commercial Audio DSP Chip. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Xuan-Tu Tran, Jean Durupt, François Bertrand, Vincent Beroulle, Chantal Robach A DFT Architecture for Asynchronous Networks-on-Chip. Search on Bibsonomy ETS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Mário P. Véstias, Horácio C. Neto A Generic Network-on-Chip Architecture for Reconfigurable Systems: Implementation and Evaluation. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Balasubramanian Sethuraman, Ranga Vemuri Multi2 Router: A Novel Multi Local Port Router Architecture with Broadcast Facility for FPGA-Based Networks-on-Chip. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Balasubramanian Sethuraman Novel Methodologies for Performance & Power Efficient Reconfigurable Networks-on-Chip. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Leonel Tedesco, Aline Mello 0001, Leonardo Giacomet, Ney Calazans, Fernando Gehm Moraes Application driven traffic modeling for NoCs. Search on Bibsonomy SBCCI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF QoS, applications, networks on chip, traffic modeling
35Martijn Coenen, Srinivasan Murali, Andrei Radulescu, Kees Goossens, Giovanni De Micheli A buffer-sizing algorithm for networks on chip using TDMA and credit-based end-to-end flow control. Search on Bibsonomy CODES+ISSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF systems-on-chip, networks-on-chip, buffers, area
35Antoine Scherrer, Antoine Fraboulet, Tanguy Risset Automatic phase detection for stochastic on-chip traffic generation. Search on Bibsonomy CODES+ISSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF phase behavior, stochastic traffic modeling, performance evaluation, network-on-chip, traffic generation
35Vu-Duc Ngo, Huy Nam Nguyen, Younghwan Bae, Hanjin Cho, Hae-Wook Choi Throughput Aware Mapping for Network on Chip Design of H.264 Decoder. Search on Bibsonomy ISPA Workshops The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Ankur Agarwal, Mehmet Mustafa, Abhijit S. Pandya QOS Driven Network-on-Chip Design for Real Time Systems. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Thomas D. Richardson, Chrysostomos Nicopoulos, Dongkook Park, Narayanan Vijaykrishnan, Yuan Xie 0001, Chita R. Das, Vijay Degalahal A Hybrid SoC Interconnect with Dynamic TDMA-Based Transaction-Less Buses and On-Chip Networks. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Kees Goossens, John Dielissen, Om Prakash Gangwal, Santiago González Pestana, Andrei Radulescu, Edwin Rijpkema A Design Flow for Application-Specific Networks on Chip with Guaranteed Performance to Accelerate SOC Design and Verification. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
35Márcio Eduardo Kreutz, César A. M. Marcon, Luigi Carro, Flávio Rech Wagner, Altamiro Amadeu Susin Design space exploration comparing homogeneous and heterogeneous network-on-chip architectures. Search on Bibsonomy SBCCI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF mapping and optimization algorithms, systems-on-chip, networks-on-chip
35Krishnan Srinivasan, Karam S. Chatha A technique for low energy mapping and routing in network-on-chip architectures. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF core mapping, routing, network-on-chip, automated design, mesh topology
35Krishnan Srinivasan, Karam S. Chatha ISIS: A Genetic Algorithm Based Technique for Custom On-Chip Interconnection Network Synthesis. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
35Vishak Venkatraman, Andrew Laffely, Jinwook Jang, Hempraveen Kukkamalla, Zhi Zhu, Wayne P. Burleson NoCIC: a spice-based interconnect planning tool emphasizing aggressive on-chip interconnect circuit methods. Search on Bibsonomy SLIP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF on-chip, spice-based, network-on-chip, interconnects, signaling
35Krishnan Srinivasan, Karam S. Chatha, Goran Konjevod Linear Programming based Techniques for Synthesis of Network-on-Chip Architectures. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 2674 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license