The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ReCoSoC"( http://dblp.L3S.de/Venues/ReCoSoC )

URL (DBLP): http://dblp.uni-trier.de/db/conf/recosoc

Publication years (Num. hits)
2005 (26) 2006 (37) 2007 (32) 2010 (30) 2011 (56) 2012 (42) 2013 (36) 2014 (39) 2015 (33) 2016 (22) 2017 (22) 2018 (19) 2019 (17)
Publication types (Num. hits)
inproceedings(398) proceedings(13)
Venues (Conferences, Journals, ...)
ReCoSoC(411)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
No Growbag Graphs found.

Results
Found 411 publication records. Showing 411 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Osvaldo Navarro, Tim Leiding, Michael Hübner 0001 Configurable cache tuning with a victim cache. Search on Bibsonomy ReCoSoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Stefan Werner 0002, Dennis Heinrich, Jannik Piper, Sven Groppe, Rico Backasch, Christopher Blochwitz, Thilo Pionteck Automated composition and execution of hardware-accelerated operator graphs. Search on Bibsonomy ReCoSoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1 10th International Symposium on Reconfigurable Communication-centric Systems-on-Chip, ReCoSoC 2015, Bremen, Germany, June 29 - July 1, 2015 Search on Bibsonomy ReCoSoC The full citation details ... 2015 DBLP  BibTeX  RDF
1Byron Navas, Ingo Sander, Johnny Öberg Towards cognitive reconfigurable hardware: Self-aware learning in RTR fault-tolerant SoCs. Search on Bibsonomy ReCoSoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Fynn Schwiegelshohn, Lars Gierke, Michael Hübner 0001 FPGA based traffic sign detection for automotive camera systems. Search on Bibsonomy ReCoSoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Rémy Druyer, Lionel Torres, Pascal Benoit, Paul-Vincent Bonzom, Patrick Le-Quéré A survey on security features in modern FPGAs. Search on Bibsonomy ReCoSoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Marco A. Z. Alves, Paulo C. Santos 0001, Matthias Diener, Luigi Carro Reconfigurable Vector Extensions inside the DRAM. Search on Bibsonomy ReCoSoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Robin Bonamy, Sébastien Bilavarn, Fabrice Muller An energy-aware scheduler for dynamically reconfigurable multi-core systems. Search on Bibsonomy ReCoSoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Christian de Schryver Towards run-time flexible risk management systems on hybrid platforms. Search on Bibsonomy ReCoSoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Cédric Lichtenau Beyond many-core: Commercial workload acceleration in high-end systems. Search on Bibsonomy ReCoSoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Javier Mora 0001, Andrés Otero, Eduardo de la Torre, Teresa Riesgo Fast and compact evolvable systolic arrays on dynamically reconfigurable FPGAs. Search on Bibsonomy ReCoSoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Charlotte Frenkel, Jean-Didier Legat, David Bol A Partial Reconfiguration-based scheme to mitigate Multiple-Bit Upsets for FPGAs in low-cost space applications. Search on Bibsonomy ReCoSoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Wolfgang Büter, Yanqiu Huang, Daniel Gregorek, Alberto García Ortiz A decentralised, autonomous, and congestion-aware thermal monitoring infrastructure for photonic network-on-chip. Search on Bibsonomy ReCoSoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Fabien Clermidy, O. Turkyimaz, Olivier Billoint, Pierre-Emmanuel Gaillardon 3D technologies for reconfigurable architectures. Search on Bibsonomy ReCoSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Mokhtar Bouain, Venkatasubramanian Viswanathan, Rabie Ben Atitallah, Jean-Luc Dekeyser Communication-centric design for FMC based I/O system. Search on Bibsonomy ReCoSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Guy Wassi, Mohamed El Amine Benkhelifa, Geoff Lawday, François Verdier, Samuel Garcia Multi-shape tasks scheduling for online multitasking on FPGAs. Search on Bibsonomy ReCoSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Kris Heid, Haoyuan Ying, Christian Hochberger, Klaus Hofmann LatEst: Latency estimation and high speed evaluation for wormhole switched Networks-on-Chip. Search on Bibsonomy ReCoSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Pierre-Emmanuel Gaillardon, Xifan Tang, Giovanni De Micheli Novel configurable logic block architecture exploiting controllable-polarity transistors. Search on Bibsonomy ReCoSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Alexandre Ingles da Silva, Fábio Dacêncio Pereira Sram-Based FPGA proposal for dynamic power management on sensor node. Search on Bibsonomy ReCoSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Ping-Chun Lee, Ing-Jer Huang Reconfigurable Bus Monitor Tool Suite for on-chip SoC for performance and protocol monitoring. Search on Bibsonomy ReCoSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Chuan Shan, Dimitri Galayko, François Anceau, Eldar Zianbetov A reconfigurable distributed architecture for clock generation in large many-core SoC. Search on Bibsonomy ReCoSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Arnaud Vena, Brice Sorli, Alain Foucaran, Yassin Belaizi A RFID-enabled sensor platform for pervasive monitoring. Search on Bibsonomy ReCoSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Maha Kooli, Pascal Benoit, Giorgio Di Natale, Lionel Torres, Volkmar Sieh Fault injection tools based on Virtual Machines. Search on Bibsonomy ReCoSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Gregor Rebel, Francisco J. Estévez, Ioanna Tsekoura, Ingo Schulz, Peter Glösekötter Interrupt aware queue implementation for energy efficient multitasking systems based on Cortex-M3 architecture. Search on Bibsonomy ReCoSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Faycal Ait Aouda, Kevin Marquet, Guillaume Salagnac Incremental checkpointing of program state to NVRAM for transiently-powered systems. Search on Bibsonomy ReCoSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Baqar Raza, Husain Parvez, Muhammad Mohiuddin Exploring alternate trade-offs of placement quality versus runtime in Simulated Annealing algorithm. Search on Bibsonomy ReCoSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Piotr Dziurzanski, Hashem Ali Ghazzawi, Leandro Soares Indrusiak Feedback-based admission control for task allocation. Search on Bibsonomy ReCoSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Philipp Wehner, Christina Piberger, Diana Göhringer Using JSON to manage communication between services in the Internet of Things. Search on Bibsonomy ReCoSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Fynn Schwiegelshohn, Michael Hübner 0001 An application scenario for dynamically reconfigurable FPGAs. Search on Bibsonomy ReCoSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jaime Correa Rodriguez, Kurt Franz Ackermann Leveraging partial dynamic reconfiguration on Zynq SoC FPGAs. Search on Bibsonomy ReCoSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Haoyuan Ying, Thomas Hollstein, Klaus Hofmann A hardware/software co-design reconfigurable Network-on-Chip FPGA emulation method. Search on Bibsonomy ReCoSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Ioanna Tsekoura, Gregor Rebel, Peter Glösekötter, Mladen Berekovic An evaluation of energy efficient microcontrollers. Search on Bibsonomy ReCoSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Sophiane Senni, Lionel Torres, Gilles Sassatelli, Anastasiia Butko, Bruno Mussard Power efficient Thermally Assisted Switching Magnetic memory based memory systems. Search on Bibsonomy ReCoSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Bharath Sudev, Leandro Soares Indrusiak Low overhead predictability enhancement in non-preemptive network-on-chip routers using Priority Forwarded Packet Splitting. Search on Bibsonomy ReCoSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Thomas Carle, Manel Djemal, Daniela Genius, François Pêcheux, Dumitru Potop-Butucaru, Robert de Simone, Franck Wajsbürt, Zhen Zhang Reconciling performance and predictability on a many-core through off-line mapping. Search on Bibsonomy ReCoSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Alfonso Rodríguez 0002, Juan Valverde, Eduardo de la Torre, Teresa Riesgo Dynamic management of multikernel multithread accelerators using Dynamic Partial Reconfiguration. Search on Bibsonomy ReCoSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1 9th International Symposium on Reconfigurable and Communication-Centric Systems-on-Chip, ReCoSoC 2014, Montpellier, France, May 26-28, 2014 Search on Bibsonomy ReCoSoC The full citation details ... 2014 DBLP  BibTeX  RDF
1Parham Haririan, Alberto García Ortiz Non-intrusive DVFS emulation in gem5 with application to self-aware architectures. Search on Bibsonomy ReCoSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Laurent Gantel, Mohamed El Amine Benkhelifa, François Verdier, Fabrice Lemonnier MRAPI resource management layer on reconfigurable systems-on-chip. Search on Bibsonomy ReCoSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Sébastien Le Beux, Hui Li 0034, Gabriela Nicolescu, Ian O'Connor A reconfigurable optical network on chip for streaming applications. Search on Bibsonomy ReCoSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Moslem Amiri, Vaclav Prenosil General solutions for MTTF and steady-state availability of NMR systems. Search on Bibsonomy ReCoSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Thomas Schuster, Rolf Meyer, Rainer Buchty, Luca Fossati, Mladen Berekovic SoCRocket - A virtual platform for the European Space Agency's SoC development. Search on Bibsonomy ReCoSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Fatma Karray, Mohamed Wassim Jmal, Mohamed Abid, Mohammed S. BenSaleh, Abdulfattah Mohammad Obeid A review on wireless sensor node architectures. Search on Bibsonomy ReCoSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Muhammad Amin Qureshi, Husain Parvez Design-space exploration between FPGA and ASIF. Search on Bibsonomy ReCoSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Paul James Fox, A. Theodore Markettos, Simon W. Moore Reliably prototyping large SoCs using FPGA clusters. Search on Bibsonomy ReCoSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Mohamed Amine Boussadi, Thierry Tixier, Alexis Landrault, Jean-Pierre Dérutin HNCP-II: A 16-core 65nm microprocessor ASIC for image processing algorithms. Search on Bibsonomy ReCoSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Abdelkarim Cherkaoui, Lilian Bossuet, Ludwig Seitz, Göran Selander, Ravishankar Borgaonkar New paradigms for access control in constrained environments. Search on Bibsonomy ReCoSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Christof Osewold, Wolfgang Büter, Alberto García Ortiz A coding-based configurable and asymmetrical redundancy scheme for 3-D interconnects. Search on Bibsonomy ReCoSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Ali Ahari, Hossein Asadi 0001, Mehdi Baradaran Tahoori Emerging Non-Volatile Memory technologies for future low power reconfigurable systems. Search on Bibsonomy ReCoSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Loïc Lagadec, Jean-Christophe Le Lann, Théotime Bollengier A prototyping platform for virtual reconfigurable units. Search on Bibsonomy ReCoSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yunfeng Ma, M. Norazizi Sham Mohd Sayuti, Leandro Soares Indrusiak Inexact End-to-End Response Time Analysis as fitness function in search-based task allocation heuristics for hard real-time network-on-chips. Search on Bibsonomy ReCoSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Ning Ma, Zhuo Zou, Zhonghai Lu, Li-Rong Zheng 0001, Stefan Blixt A hierarchical reconfigurable micro-coded multi-core processor for IoT applications. Search on Bibsonomy ReCoSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Christian Stüllein, Norbert Abel, Udo Kebschull Bitfile preservation - Generation of reusable out of context modules. Search on Bibsonomy ReCoSoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Riccardo Cattaneo, Xinyu Niu, Christian Pilato, Tobias Becker, Wayne Luk, Marco D. Santambrogio A framework for effective exploitation of partial reconfiguration in dataflow computing. Search on Bibsonomy ReCoSoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Alexander Wold, Dirk Koch, Jim Tørresen Component based design using constraint programming for module placement on FPGAs. Search on Bibsonomy ReCoSoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Alexander Logvinenko, Carsten Gremzow, Dietmar Tutsch RecMIN: A reconfiguration architecture for network on chip. Search on Bibsonomy ReCoSoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Qian Zhao 0001, Motoki Amagasaki, Masahiro Iida, Morihiro Kuga, Toshinori Sueyoshi An FPGA design and implementation framework combined with commercial VLSI CADs. Search on Bibsonomy ReCoSoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Matthias Hiller, Georg Sigl, Michael Pehl A new model for estimating bit error probabilities of Ring-Oscillator PUFs. Search on Bibsonomy ReCoSoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jesús Carabaño, Francisco Dios, Masoud Daneshtalab, Masoumeh Ebrahimi An exploration of heterogeneous systems. Search on Bibsonomy ReCoSoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Anup Das 0001, Amit Kumar Singh 0002, Akash Kumar 0001 Energy-aware dynamic reconfiguration of communication-centric applications for reliable MPSoCs. Search on Bibsonomy ReCoSoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Philipp Gorski, Dirk Timmermann Centralized traffic monitoring for online-resizable clusters in Networks-on-Chip. Search on Bibsonomy ReCoSoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Marco Ramírez 0001, Masoud Daneshtalab, Pasi Liljeberg, Juha Plosila Towards a Configurable Many-core Accelerator for FPGA-based embedded systems. Search on Bibsonomy ReCoSoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Francesco Robino, Johnny Öberg The HeartBeat model: A platform abstraction enabling fast prototyping of real-time applications on NoC-based MPSoC on FPGA. Search on Bibsonomy ReCoSoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Michael Dreschmann, Oliver Sander, Alexander Klimm, Christoph Roth, Jürgen Becker 0001 Addiguration: Exploring configuration behavior of Spartan-3 devices. Search on Bibsonomy ReCoSoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Maicon A. Sartin, Alexandre C. R. da Silva Approximation of hyperbolic tangent activation function using hybrid methods. Search on Bibsonomy ReCoSoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Juan Carlos Pena Ramos, Marian Verhelst Flexible, ultra-low power sensor nodes through configurable finite state machines. Search on Bibsonomy ReCoSoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1James Harbin, Leandro Soares Indrusiak Dynamic task remapping for power and latency performance improvement in priority-based non-preemptive Networks On Chip. Search on Bibsonomy ReCoSoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Shuo Li 0002, Ahmed Hemani Memory allocation and optimization in system-level architectural synthesis. Search on Bibsonomy ReCoSoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Christoph Roth, Harald Bucher, Simon Reder, Oliver Sander, Jürgen Becker 0001 Improving parallel MPSoC simulation performance by exploiting dynamic routing delay prediction. Search on Bibsonomy ReCoSoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Shivam Bhasin, Wei He, Sylvain Guilley, Jean-Luc Danger Exploiting FPGA block memories for protected cryptographic implementations. Search on Bibsonomy ReCoSoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Dominic Hillenbrand, Yuuki Furuyama, Akihiro Hayashi, Hiroki Mikami, Keiji Kimura, Hironori Kasahara Reconciling application power control and operating systems for optimal power and performance. Search on Bibsonomy ReCoSoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1 2013 8th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC), Darmstadt, Germany, July 10-12, 2013 Search on Bibsonomy ReCoSoC The full citation details ... 2013 DBLP  BibTeX  RDF
1Bouthaina Damak, Mouna Baklouti, Smaïl Niar, Mohamed Abid Shared hardware accelerator architectures for heterogeneous MPSoCs. Search on Bibsonomy ReCoSoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Eduardo Cuevas-Farfan, Miguel Morales-Sandoval, René Cumplido, Claudia Feregrino Uribe, Ignacio Algredo-Badillo A programmable FPGA-based cryptoprocessor for bilinear pairings over F2m. Search on Bibsonomy ReCoSoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Fabio Cancare, Christian Pilato, Andrea Cazzaniga, Donatella Sciuto, Marco D. Santambrogio D-RECS: A complete methodology to implement Self Dynamic Reconfigurable FPGA-based systems. Search on Bibsonomy ReCoSoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Kartikeya Bhardwaj, Pravin S. Mane ACMA: Accuracy-configurable multiplier architecture for error-resilient System-on-Chip. Search on Bibsonomy ReCoSoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Juan M. Campos, René Cumplido, Claudia Feregrino Uribe, Roberto Perez-Andrade A parallelization methodology for reconfigurable systems applied to edge detection. Search on Bibsonomy ReCoSoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Daniela Genius Measuring memory access latency for software objects in a NUMA system-on-chip architecture. Search on Bibsonomy ReCoSoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Ingrid Exurville, Jacques J. A. Fournier, Jean-Max Dutertre, Bruno Robisson, Assia Tria Practical measurements of data path delays for IP authentication & integrity verification. Search on Bibsonomy ReCoSoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jörg Walter 0001, Jörg Lenhardt, Wolfram Schiffmann SoC performance evaluation with ArchC and TLM-2.0. Search on Bibsonomy ReCoSoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Simen Gimle Hansen, Dirk Koch, Jim Tørresen Simulation framework for cycle-accurate RTL modeling of partial run-time reconfiguration in VHDL. Search on Bibsonomy ReCoSoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Vianney Lapotre, Michael Hübner 0001, Guy Gogniat, Purushotham Murugappa, Amer Baghdadi, Jean-Philippe Diguet An efficient on-chip configuration infrastructure for a flexible multi-ASIP turbo decoder architecture. Search on Bibsonomy ReCoSoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Martin Kumm, Konrad Möller, Peter Zipf Dynamically reconfigurable FIR filter architectures with fast reconfiguration. Search on Bibsonomy ReCoSoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Juan Fernando Eusse, Christopher Williams 0004, Rainer Leupers CoEx: A novel profiling-based algorithm/architecture co-exploration for ASIP design. Search on Bibsonomy ReCoSoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jens Huthmann, Björn Liebig, Julian Oppermann, Andreas Koch 0001 Hardware/software co-compilation with the Nymble system. Search on Bibsonomy ReCoSoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1André Himmighofen, Bernhard Jungk, Steffen Reith On a FPGA-based method for authentication using Edwards curves. Search on Bibsonomy ReCoSoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Gerald Hempel, Jan Hoyer, Thilo Pionteck, Christian Hochberger Register allocation for high-level synthesis of hardware accelerators targeting FPGAs. Search on Bibsonomy ReCoSoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Bernhard Jungk, Marc Stöttinger Among slow dwarfs and fast giants: A systematic design space exploration of KECCAK. Search on Bibsonomy ReCoSoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Masoud Daneshtalab, Masoumeh Ebrahimi, Juha Plosila GLB - Efficient Global Load Balancing method for moderating congestion in on-chip networks. Search on Bibsonomy ReCoSoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Mohsin Amin, Mihkel Tagel, Gert Jervan, Thomas Hollstein Design methodology for fault-tolerant heterogeneous MPSoC under real-time constraints. Search on Bibsonomy ReCoSoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Dimitris Bekiaris, Efstathios Sotiriou-Xanthopoulos, George Economakos, Dimitrios Soudris Systematic design and evaluation of a scalable reconfigurable multiplier scheme for HLS environments. Search on Bibsonomy ReCoSoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Nicolas Serna, François Verdier High-level model of sensor architecture for hardware and software design space exploration. Search on Bibsonomy ReCoSoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Anup Das 0001, Akash Kumar 0001, Bharadwaj Veeravalli Fault-tolerant network interface for spatial division multiplexing based Network-on-Chip. Search on Bibsonomy ReCoSoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Mohamad Sofian Abu Talip, Takayuki Akamine, Yasunori Osana, Naoyuki Fujita, Hideharu Amano Dynamically reconfigurable flux limiter functions in MUSCL scheme. Search on Bibsonomy ReCoSoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Adrian Racu, Leandro Soares Indrusiak Using genetic algorithms to map hard real-time on NoC-based systems. Search on Bibsonomy ReCoSoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Chiraz Trabelsi, Samy Meftali, Jean-Luc Dekeyser Distributed control for reconfigurable FPGA systems: A high-level design approach. Search on Bibsonomy ReCoSoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Ross A. Elliot, Martin A. Enderwitz, Ke He, Faisal Darbari, Louise Crockett, Stephan Weiss 0001, Robert W. Stewart Partially reconfigurable TVWS transceiver for use in UK and US markets. Search on Bibsonomy ReCoSoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Mark Milward, David Stevens, Vassilios A. Chouliaras Embedded UML design flow to the configurable LE1 MultiCore VLIW processor. Search on Bibsonomy ReCoSoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Kyprianos Papadimitriou, Charalampos Vatsolakis, Dionisios N. Pnevmatikatos Invited paper: Acceleration of computationally-intensive kernels in the reconfigurable era. Search on Bibsonomy ReCoSoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Anastasiia Butko, Rafael Garibotti, Luciano Ost, Gilles Sassatelli Accuracy evaluation of GEM5 simulator system. Search on Bibsonomy ReCoSoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 411 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license