The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ReConFig"( http://dblp.L3S.de/Venues/ReConFig )

URL (DBLP): http://dblp.uni-trier.de/db/conf/reconfig

Publication years (Num. hits)
2005 (29) 2006 (42) 2008 (77) 2009 (78) 2010 (79) 2011 (85) 2012 (68) 2013 (84) 2014 (86) 2015 (80) 2016 (56) 2017 (53) 2018 (40) 2019 (43)
Publication types (Num. hits)
inproceedings(886) proceedings(14)
Venues (Conferences, Journals, ...)
ReConFig(900)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 389 occurrences of 238 keywords

Results
Found 900 publication records. Showing 900 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Shijie Zhou 0001, Rajgopal Kannan, Viktor K. Prasanna Accelerating low rank matrix completion on FPGA. Search on Bibsonomy ReConFig The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2017, Cancun, Mexico, December 4-6, 2017 Search on Bibsonomy ReConFig The full citation details ... 2017 DBLP  BibTeX  RDF
1Ian J. Barge, Cristinel Ababei H.264 video decoder implemented on FPGAs using 3×3 and 2×2 networks-on-chip. Search on Bibsonomy ReConFig The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Andreas Engel 0003, Andreas Koch 0001 Energy-efficient reconfiguration of flash-based FPGAs in heterogeneous wireless sensor nodes. Search on Bibsonomy ReConFig The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Siyuan Xu, Jianqi Chen, Benjamin Carrión Schäfer HW/SW co-design experimental framework using configurable SoCs. Search on Bibsonomy ReConFig The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Andrew Boutros, Brett Grady, Mustafa Abbas, Paul Chow Build fast, trade fast: FPGA-based high-frequency trading using high-level synthesis. Search on Bibsonomy ReConFig The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Pongstorn Maidee, Alireza Kaviani, Kevin Zeng LinkBlaze: Efficient global data movement for FPGAs. Search on Bibsonomy ReConFig The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Michele Paolino, Sebastien Pinneterre, Daniel Raho FPGA virtualization with accelerators overcommitment for network function virtualization. Search on Bibsonomy ReConFig The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1William Diehl, Abubakr Abdulgadir, Jens-Peter Kaps, Kris Gaj Side-channel resistant soft core processor for lightweight block ciphers. Search on Bibsonomy ReConFig The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Muhammad K. A. Hamdan, Diane T. Rover VHDL generator for a high performance convolutional neural network FPGA-based accelerator. Search on Bibsonomy ReConFig The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Paul Rogers, Rajesh Kavasseri, Scott C. Smith An FPGA-in-the-loop approach for HDL motor controller verification. Search on Bibsonomy ReConFig The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Diogo Parrinha, Ricardo Chaves Flexible and low-cost HSM based on non-volatile FPGAs. Search on Bibsonomy ReConFig The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Metzli Ramirez-Martinez, Francisco Sanchez-Fernandez, Philippe Brunet, Sidi Mohammed Senouci, El-Bay Bourennane Dynamic management of a partial reconfigurable hardware architecture for pedestrian detection in regions of interest. Search on Bibsonomy ReConFig The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Young H. Cho, Siddharth S. Bhargav Fine-grained on-line power monitoring for soft microprocessor based system-on-chip. Search on Bibsonomy ReConFig The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Jan Moritz Joseph, Morten Mey, Kristian Ehlers, Christopher Blochwitz, Tobias Winker, Thilo Pionteck Design space exploration for a hardware-accelerated embedded real-time pose estimation using vivado HLS. Search on Bibsonomy ReConFig The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Benedikt Janßen, Fatih Korkmaz, Halil Derya, Michael Hübner 0001, Mário Lopes Ferreira, João Canas Ferreira Towards a type 0 hypervisor for dynamic reconfigurable systems. Search on Bibsonomy ReConFig The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Tuncay Soylu, Oguzhan Erdem, Aydin Carus, Edip S. Güner Simple CART based real-time traffic classification engine on FPGAs. Search on Bibsonomy ReConFig The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Sizhuo Zhang, Hari Angepat, Derek Chiou HGum: Messaging framework for hardware accelerators. Search on Bibsonomy ReConFig The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Muhammad Usman Tariq, Umer I. Cheema, Fahad Saeed Power-efficient and highly scalable parallel graph sampling using FPGAs. Search on Bibsonomy ReConFig The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Claudio Rubattu, Francesca Palumbo, Maxime Pelcat Adaptive software-augmented hardware reconfiguration with dataflow design automation. Search on Bibsonomy ReConFig The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Lukas Sommer, Julian Oppermann, Jaco A. Hofmann, Andreas Koch 0001 Synthesis of interleaved multithreaded accelerators from OpenMP loops. Search on Bibsonomy ReConFig The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Salvador Ibarra-Delgado, Remberto Sandoval-Arechiga, María Brox, Manuel A. Ortiz Software defined network controller: A neat solution administration for reconfigurable multi-core NoC. Search on Bibsonomy ReConFig The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Panasayya Yalla, Jens-Peter Kaps Evaluation of the CAESAR hardware API for lightweight implementations. Search on Bibsonomy ReConFig The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Éricles Sousa, Alexandru Tanase, Frank Hannig, Jürgen Teich A reconfigurable memory architecture for system integration of coarse-grained reconfigurable arrays. Search on Bibsonomy ReConFig The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Christian Plessi Keynote 2 - FPGA-accelerated high-performance computing - Close to breakthrough or pipedream? Search on Bibsonomy ReConFig The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Mario Ruiz, Gustavo Sutter, Sergio López-Buedo, Jose Fernando Zazo, Jorge E. López de Vergara An FPGA-based approach for packet deduplication in 100 gigabit-per-second networks. Search on Bibsonomy ReConFig The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Girish Deshpande, Dinesh K. Bhatia Microchannels for thermal management in FPGAs. Search on Bibsonomy ReConFig The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Ievgen Kabin, Zoya Dyka, Dan Kreiser, Peter Langendörfer Horizontal address-bit DPA against montgomery kP implementation. Search on Bibsonomy ReConFig The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Tobias Drewes, Jan Moritz Joseph, Thilo Pionteck An FPGA-based prototyping framework for Networks-on-Chip. Search on Bibsonomy ReConFig The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Rasha Karakchi, Lothrop O. Richards, Jason D. Bakos A Dynamically Reconfigurable Automata Processor Overlay. Search on Bibsonomy ReConFig The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Joao Lopes, Diogo Sousa 0002, João Canas Ferreira Evaluation of CGRA architecture for real-time processing of biological signals on wearable devices. Search on Bibsonomy ReConFig The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Umer Farooq 0001, Habib Mehrez, Muhammad Khurram Bhatti Comparison of direct and switch-based inter-FPGA routing interconnect for multi-FPGA systems. Search on Bibsonomy ReConFig The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Lucas B. da Silva, Danilo Damião Almeida, José Augusto Miranda Nacif, Ismael Sanchez-Osorio, Carlos A. Hernandez-Martinez, Ricardo Ferreira 0001 Exploring the dynamics of large-scale gene regulatory networks using hardware acceleration on a heterogeneous CPU-FPGA platform. Search on Bibsonomy ReConFig The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1David C. Keezer, Jingchi Yang Biologically inspired hierarchical structure for self-repairing FPGAs. Search on Bibsonomy ReConFig The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1César Torres-Huitzil, Bernard Girau Fault tolerance in neural networks: Neural design and hardware implementation. Search on Bibsonomy ReConFig The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Hanqing Zeng, Chi Zhang 0022, Viktor K. Prasanna Fast generation of high throughput customized deep learning accelerators on FPGAs. Search on Bibsonomy ReConFig The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Siavash Rezaei, César-Alejandro Hernández-Calderón, Saeed Mirzamohammadi, Eli Bozorgzadeh, Alexander V. Veidenbaum, Alex Nicolau, Michael J. Prather Data-rate-aware FPGA-based acceleration framework for streaming applications. Search on Bibsonomy ReConFig The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Jaco A. Hofmann, Jens Korinth, Andreas Koch 0001 A scalable latency-insensitive architecture for FPGA-accelerated semi-global matching in stereo vision applications. Search on Bibsonomy ReConFig The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Ali Asgar Sohanghpurwala, Peter M. Athanas An effective probability distribution SAT solver on reconfigurable hardware. Search on Bibsonomy ReConFig The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Ho-Cheung Ng, Maolin Wang, Bob M. F. Chung, B. Sharat Chandra Varma 0001, Manish Kumar Jaiswal, Sam M. H. Ho, Kevin K. Tsia, Ho Cheung Shum, Hayden Kwok-Hay So High-throughput cellular imaging with high-speed asymmetric-detection time-stretch optical microscopy under FPGA platform. Search on Bibsonomy ReConFig The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Benjamin R. Buhrow, William J. Goetzinger, Barry K. Gilbert 1 Tb/s anti-replay protection with 20-port on-chip RAM memory in FPGAs. Search on Bibsonomy ReConFig The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1João Canas Ferreira, Jose Fonseca An FPGA implementation of a long short-term memory neural network. Search on Bibsonomy ReConFig The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Tobias Lieske, Marc Reichenbach, Burkhard Ringlein, Dietmar Fey Dataflow optimization for programmable embedded image preprocessing accelerators. Search on Bibsonomy ReConFig The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Kentaro Orimo, Kota Ando, Kodai Ueyoshi, Masayuki Ikebe, Tetsuya Asai, Masato Motomura FPGA architecture for feed-forward sequential memory network targeting long-term time-series forecasting. Search on Bibsonomy ReConFig The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Jan Moritz Joseph, Tobias Winker, Kristian Ehlers, Christopher Blochwitz, Thilo Pionteck Hardware-accelerated pose estimation for embedded systems using Vivado HLS. Search on Bibsonomy ReConFig The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Thomas B. Preußer, Markus Krause Survey on and re-evaluation of wide adder architectures on FPGAs. Search on Bibsonomy ReConFig The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Farnoud Farahmand, Ekawat Homsirikamol, Kris Gaj A Zynq-based testbed for the experimental benchmarking of algorithms competing in cryptographic contests. Search on Bibsonomy ReConFig The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Andreas Becher, Stefan Wildermann, Moritz Mühlenthaler, Jürgen Teich ReOrder: Runtime datapath generation for high-throughput multi-stream processing. Search on Bibsonomy ReConFig The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Atil U. Ay, Erdinç Öztürk, Francisco Rodríguez-Henríquez, Erkay Savas Design and implementation of a constant-time FPGA accelerator for fast elliptic curve cryptography. Search on Bibsonomy ReConFig The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Andres Jacoby, Daniel Llamocca Dual fixed-point CORDIC processor: Architecture and FPGA implementation. Search on Bibsonomy ReConFig The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Robért Glein, Florian Rittner, Albert Heuberger Adaptive single-event effect mitigation for dependable processing systems. Search on Bibsonomy ReConFig The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Sen Ma, David Andrews 0001, Shanyuan Gao, Jaime Cummins Breeze computing: A just in time (JIT) approach for virtualizing FPGAs in the cloud. Search on Bibsonomy ReConFig The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Graham Schelle Keynote 1 - Growing the ReConFig community through python, zynq and hardware overlays. Search on Bibsonomy ReConFig The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Gundolf Kiefer, Matthias Vahl, Julian Sarcher, Michael Schaeferling A configurable architecture for the generalized hough transform applied to the analysis of huge aerial images and to traffic sign detection. Search on Bibsonomy ReConFig The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Jose Fernando Zazo, Sergio López-Buedo, Gustavo Sutter, Javier Aracil 0001 Automated synthesis of FPGA-based packet filters for 100 Gbps network monitoring applications. Search on Bibsonomy ReConFig The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Qianqiao Chen, Vaibhawa Mishra, Georgios Zervas Reconfigurable computing for network function virtualization: A protocol independent switch. Search on Bibsonomy ReConFig The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Thomas B. Preußer, Martin Zabel, Patrick Lehmann 0001, Rainer G. Spallek The portable open-source IP core and utility library PoC. Search on Bibsonomy ReConFig The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Bernhard Jungk, Marc Stöttinger Hobbit - Smaller but faster than a dwarf: Revisiting lightweight SHA-3 FPGA implementations. Search on Bibsonomy ReConFig The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Matej Bartik, Sven Ubik, Pavel Kubalík A novel and efficient method to initialize FPGA embedded memory content in asymptotically constant time. Search on Bibsonomy ReConFig The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Steffen Vaas, Marc Reichenbach, Ulrich Margull, Dietmar Fey The R2-D2 toolchain - Automated porting of safety-critical applications to FPGAs. Search on Bibsonomy ReConFig The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Lukas Johannes Jung, Christian Hochberger Optimal processor interface for CGRA-based accelerators implemented on FPGAs. Search on Bibsonomy ReConFig The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Thorbjörn Posewsky, Daniel Ziener Efficient deep neural network acceleration through FPGA-based batch processing. Search on Bibsonomy ReConFig The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Tobias Kalb, Diana Göhringer Enabling dynamic and partial reconfiguration in Xilinx SDSoC. Search on Bibsonomy ReConFig The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Vaibhawa Mishra, Qianqiao Chen, Georgios Zervas REoN: A protocol for reliable software-defined FPGA partial reconfiguration over network. Search on Bibsonomy ReConFig The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Robert Karam, Tamzidul Hoque, Sandip Ray, Mark M. Tehranipoor, Swarup Bhunia Technical demonstration session: Software toolflow for FPGA bitstream obfuscation. Search on Bibsonomy ReConFig The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Andreas Becher, Jutta Pirkl, Achim Herrmann, Jürgen Teich, Stefan Wildermann Hybrid energy-aware reconfiguration management on Xilinx Zynq SoCs. Search on Bibsonomy ReConFig The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Habib ul Hasan Khan, Diana Göhringer FPGA debugging by a device start and stop approach. Search on Bibsonomy ReConFig The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Sebastian Meisner, Marco Platzner Thread shadowing: On the effectiveness of error detection at the hardware thread level. Search on Bibsonomy ReConFig The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Paolo Di Febbo, Stefano Mattoccia, Carlo Dal Mutto Real-time image distortion correction: Analysis and evaluation of FPGA-compatible algorithms. Search on Bibsonomy ReConFig The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Robert Karam, Tamzidul Hoque, Sandip Ray, Mark M. Tehranipoor, Swarup Bhunia Robust bitstream protection in FPGA-based systems through low-overhead obfuscation. Search on Bibsonomy ReConFig The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Jens Rettkowski, Konstantin Friesen, Diana Göhringer RePaBit: Automated generation of relocatable partial bitstreams for Xilinx Zynq FPGAs. Search on Bibsonomy ReConFig The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Kledermon Garcia, Duarte Lopes de Oliveira, Roberto d'Amore, Lester de Abreu Faria, Joao Luis V. Oliveira FPGA implementation of optimized XBM specifications by transformation for AFSMs. Search on Bibsonomy ReConFig The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Michail S. Vavouras, Christos-Savvas Bouganis Area-driven partial reconfiguration for SEU mitigation on SRAM-based FPGAs. Search on Bibsonomy ReConFig The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Thaddeus Koehn, Peter Athanas Automating structured matrix-matrix multiplication for stream processing. Search on Bibsonomy ReConFig The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Travis Haroldsen, Brent E. Nelson, Brad L. Hutchings Packing a modern Xilinx FPGA using RapidSmith. Search on Bibsonomy ReConFig The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Wen Wang 0007, Jakub Szefer, Ruben Niederhagen Solving large systems of linear equations over GF(2) on FPGAs. Search on Bibsonomy ReConFig The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Sam M. H. Ho, Maolin Wang, Ho-Cheung Ng, Hayden Kwok-Hay So Towards FPGA-assisted spark: An SVM training acceleration case study. Search on Bibsonomy ReConFig The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Skip Booth Keynote 2 - FPGAs in the datacenter - A software view. Search on Bibsonomy ReConFig The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Paolo Meloni, Gianfranco Deriu, Francesco Conti 0001, Igor Loi, Luigi Raffo, Luca Benini A high-efficiency runtime reconfigurable IP for CNN acceleration on a mid-range all-programmable SoC. Search on Bibsonomy ReConFig The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1N. Nila-Olmedo, Fortino Mendoza-Mondragón, Alejandro Espinosa-Calderon, Moreno ARM+FPGA platform to manage solid-state-smart transformer in smart grid application. Search on Bibsonomy ReConFig The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Khaled E. Ahmed, Mohamed R. M. Rizk, Mohammed M. Farag Overloaded CDMA interconnect for Network-on-Chip (OCNoC). Search on Bibsonomy ReConFig The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Ernst Joachim Houtgast, Vlad Mihai Sima, Giacomo Marchiori, Koen Bertels, Zaid Al-Ars Power-efficiency analysis of accelerated BWA-MEM implementations on heterogeneous computing platforms. Search on Bibsonomy ReConFig The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Mario Ruiz, Gustavo Sutter, Sergio López-Buedo, Jorge E. López de Vergara FPGA-based encrypted network traffic identification at 100 Gbit/s. Search on Bibsonomy ReConFig The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Hotaka Kusano, Masayuki Ikebe, Tetsuya Asai, Masato Motomura An FPGA-optimized architecture of anti-aliasing based super resolution for real-time HDTV to 4K- and 8K-UHD conversions. Search on Bibsonomy ReConFig The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Pham Nam Khanh, Khin Mi Mi Aung, Akash Kumar 0001 Automatic framework to generate reconfigurable accelerators for option pricing applications. Search on Bibsonomy ReConFig The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Akihiko Hamada, Hiroki Matsutani Design and implementation of hardware cache mechanism and NIC for column-oriented databases. Search on Bibsonomy ReConFig The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Rasha Karakchi, Jordan A. Bradshaw, Jason D. Bakos High-level synthesis of a genomic database search engine. Search on Bibsonomy ReConFig The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Paul Rogers, Rajesh Kavasseri, Scott C. Smith An FPGA-based design for joint control and monitoring of permanent magnet synchronous motors. Search on Bibsonomy ReConFig The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Peter M. Athanas, René Cumplido, Claudia Feregrino, Ron Sass (eds.) International Conference on ReConFigurable Computing and FPGAs, ReConFig 2016, Cancun, Mexico, November 30 - Dec. 2, 2016 Search on Bibsonomy ReConFig The full citation details ... 2016 DBLP  BibTeX  RDF
1Florian Rittner, Robért Glein, Albert Heuberger Detection and Isolation of permanent faults in FPGAs with remote access. Search on Bibsonomy ReConFig The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Nobuyuki Yahiro, Bo Liu 0031, Atsushi Nanri, Shigetoshi Nakatake, Yasuhiro Takashima, Gong Chen 0002 A multi-functional memory unit with PLA-based reconfigurable decoder. Search on Bibsonomy ReConFig The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Tiziana Fanni, Luigi Raffo Coarse grain reconfiguration: Power estimation and management flow for hybrid gated systems. Search on Bibsonomy ReConFig The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Vincent Mirian, Paul Chow Evaluating shared virtual memory in an OpenCL framework for embedded systems on FPGAs. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Joshua S. Monson, Brad L. Hutchings Using shadow pointers to trace C pointer values in FPGA circuits. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Poona Bahrebar, Dirk Stroobandt Design and exploration of routing methods for NoC-based multicore systems. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Gordon Ghiu Keynote 2 - Towards datacenter computing with FPGAs. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Benjamin Buhrow, Karl E. Fritz, Barry K. Gilbert, Erik S. Daniel A highly parallel AES-GCM core for authenticated encryption of 400 Gb/s network protocols. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Jean-Pierre David Low latency solver for linear equation systems in floating point arithmetic. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Armando Astarloa, Naiara Moreira, Unai Bidarte, Marcelo Urbina, David Modrono FPGA based nodes for sub-microsecond synchronization of cyber-physical production systems on high availability ring networks. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Omar W. Ibraheem, Arif Irwansyah, Jens Hagemeyer, Mario Porrmann, Ulrich Rückert 0001 A resource-efficient multi-camera GigE vision IP core for embedded vision processing platforms. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 900 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license