The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for SMT with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1988-1998 (18) 1999-2000 (15) 2001 (15) 2002 (19) 2003 (21) 2004 (51) 2005 (67) 2006 (79) 2007 (99) 2008 (112) 2009 (144) 2010 (101) 2011 (99) 2012 (145) 2013 (102) 2014 (143) 2015 (126) 2016 (153) 2017 (116) 2018 (86) 2019 (91) 2020 (98) 2021 (101) 2022 (91) 2023 (100) 2024 (17)
Publication types (Num. hits)
article(453) incollection(6) inproceedings(1704) phdthesis(36) proceedings(10)
Venues (Conferences, Journals, ...)
CoRR(146) SMT(71) EAMT(40) FMCAD(38) CAV(33) CADE(28) SMT@IJCAR(26) IPDPS(21) AMTA(20) IWSLT(20) SAT(20) CAV (2)(19) HPCA(19) MTSummit(18) DATE(16) ATVA(15) More (+10 of total 752)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 542 occurrences of 335 keywords

Results
Found 2210 publication records. Showing 2209 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
41Tanausú Ramírez, Alex Pajuelo, Oliverio J. Santana, Mateo Valero Runahead Threads to improve SMT performance. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
41Michal Moskal Rocket-Fast Proof Checking for SMT Solvers. Search on Bibsonomy TACAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
41Shuming Chen, Pengyong Ma FROCM: A Fair and Low-Overhead Method in SMT Processor. Search on Bibsonomy HPCC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
41Richard T. Saunders, Clinton L. Jeffery, Derek T. Jones A Portable Framework for High-Speed Parallel Producer/Consumers on Real CMP, SMT and SMP Architectures. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
41Yongfeng Pan, Xiaoya Fan, Liqiang He, Deli Wang A Bypass Mechanism to Enhance Branch Predictor for SMT Processors. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
41Nobuyuki Yamasaki, Ikuo Magaki, Tsutomu Itou Prioritized SMT Architecture with IPC Control Method for Real-Time Processing. Search on Bibsonomy IEEE Real-Time and Embedded Technology and Applications Symposium The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
41Qingying Deng, Minxuan Zhang, Jiang Jiang A Parallel Infrastructure on Dynamic EPIC SMT. Search on Bibsonomy ICA3PP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
41Ugur Bozkaya, Burak Acar SMT: Split and Merge Tractography for DT-MRI. Search on Bibsonomy MICCAI (2) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
41Francisco J. Cazorla, Peter M. W. Knijnenburg, Rizos Sakellariou, Enrique Fernández, Alex Ramírez, Mateo Valero Predictable Performance in SMT Processors: Synergy between the OS and SMTs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF real time, operating systems, performance predictability, ILP, thread-level parallelism, simultaneous multithreading, Multithreaded processors
41Lars Ailo Bongo, Brian Vinter, Otto J. Anshus, Tore Larsen, John Markus Bjørndalen Using Overdecomposition to Overlap Communication Latencies with Computation and Take Advantage of SMT Processors. Search on Bibsonomy ICPP Workshops The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
41Xiaobin Li, Jean-Luc Gaudiot Design Trade-Offs and Deadlock Prevention in Transient Fault-Tolerant SMT Processors. Search on Bibsonomy PRDC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
41Seungryul Choi, Donald Yeung Learning-Based SMT Processor Resource Distribution via Hill-Climbing. Search on Bibsonomy ISCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
41Clark W. Barrett, Leonardo Mendonça de Moura, Aaron Stump Design and Results of the First Satisfiability Modulo Theories Competition (SMT-COMP 2005). Search on Bibsonomy J. Autom. Reason. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF competition, decision procedures, satisfiability modulo theories
41Zhichun Zhu, Zhao Zhang 0010 A Performance Comparison of DRAM Memory System Optimizations for SMT Processors. Search on Bibsonomy HPCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
41Jahangir Hasan, Ankit Jalote, T. N. Vijaykumar, Carla E. Brodley Heat Stroke: Power-Density-Based Denial of Service in SMT. Search on Bibsonomy HPCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
41Guilherme Dal Pizzol, Philippe Olivier Alexandre Navaux Branch Prediction Topologies for SMT Architectures. Search on Bibsonomy SBAC-PAD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
41Changhee Jung, Daeseob Lim, Jaejin Lee, Sangyong Han Adaptive execution techniques for SMT multiprocessor architectures. Search on Bibsonomy PPoPP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF simultaneous multi-threading, compilers, performance estimation, performance counters, adaptive execution
41Francisco J. Cazorla, Alex Ramírez, Mateo Valero, Enrique Fernández Dynamically Controlled Resource Allocation in SMT Processors. Search on Bibsonomy MICRO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
41Byung In Moon, Hongil Yoon, Ilgu Yun, Sungho Kang An In-Order SMT Architecture with Static Resource Partitioning for Consumer Applications. Search on Bibsonomy PDCAT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
41Ali El-Moursy, David H. Albonesi Front-End Policies for Improved Issue Efficiency in SMT Processors. Search on Bibsonomy HPCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
41Dimitrios S. Nikolopoulos Code and Data Transformations for Improving Shared Cache Performance on SMT Processors. Search on Bibsonomy ISHPC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF compilers, operating systems, memory hierarchies, runtime systems, multithreaded processors
41Stefanos Kaxiras, Girija J. Narlikar, Alan D. Berenbaum, Zhigang Hu Comparing power consumption of an SMT and a CMP DSP for mobile phone workloads. Search on Bibsonomy CASES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
41Emre Özer 0001, Ronald G. Dreslinski, Trevor N. Mudge, Stuart Biles, Krisztián Flautner Energy-Efficient Simultaneous Thread Fetch from Different Cache Levels in a Soft Real-Time SMT Processor. Search on Bibsonomy SAMOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Real-time, Energy Efficiency, Caches, Embedded Processors, SMT
41Francisco J. Cazorla, Enrique Fernández, Alex Ramírez, Mateo Valero Improving Memory Latency Aware Fetch Policies for SMT Processors. Search on Bibsonomy ISHPC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF fetch policy, long latency loads, load miss predictors, multithreading, SMT
38Kristen Parton, Kathleen R. McKeown, James Allan, Enrique Henestroza Simultaneous multilingual search for translingual information retrieval. Search on Bibsonomy CIKM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF cross-lingual IR, document translation, query translation
38Jonathan Barre, Christine Rochange, Pascal Sainrat A Predictable Simultaneous Multithreading Scheme for Hard Real-Time. Search on Bibsonomy ARCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
38Christopher Lynch, Duc-Khanh Tran SMELS: Satisfiability Modulo Equality with Lazy Superposition. Search on Bibsonomy ATVA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
38Xin Fu, Wangyuan Zhang, Tao Li 0006, José A. B. Fortes Optimizing Issue Queue Reliability to Soft Errors on Simultaneous Multithreaded Architectures. Search on Bibsonomy ICPP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
38Leonardo Mendonça de Moura, Bruno Dutertre, Natarajan Shankar A Tutorial on Satisfiability Modulo Theories. Search on Bibsonomy CAV The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
38Yeting Ge, Clark W. Barrett, Cesare Tinelli Solving Quantified Verification Conditions Using Satisfiability Modulo Theories. Search on Bibsonomy CADE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
38Cesare Tinelli An Abstract Framework for Satisfiability Modulo Theories. Search on Bibsonomy TABLEAUX The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
38Ali El-Moursy, Rajeev Garg, David H. Albonesi, Sandhya Dwarkadas Compatible phase co-scheduling on a CMP of multi-threaded processors. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
38Hossein M. Sheini, Karem A. Sakallah From Propositional Satisfiability to Satisfiability Modulo Theories. Search on Bibsonomy SAT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
38Hossein M. Sheini, Karem A. Sakallah A Progressive Simplifier for Satisfiability Modulo Theories. Search on Bibsonomy SAT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
38Brian P. Kettler, James Starz, William Miller, Peter Haglich A Template-Based Markup Tool for Semantic Web Content. Search on Bibsonomy ISWC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
38Ayose Falcón, Alex Ramírez, Mateo Valero A Low-Complexity, High-Performance Fetch Unit for Simultaneous Multithreading Processors. Search on Bibsonomy HPCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
38Michael Van Biesbrouck, Timothy Sherwood, Brad Calder A co-phase matrix to guide simultaneous multithreading simulation. Search on Bibsonomy ISPASS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
38Yingmin Li, David M. Brooks, Zhigang Hu, Kevin Skadron, Pradip Bose Understanding the energy efficiency of simultaneous multithreading. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF multithreading
38Mohamed A. Gomaa, Chad Scarbrough, Irith Pomeranz, T. N. Vijaykumar Transient-Fault Recovery for Chip Multiprocessors. Search on Bibsonomy ISCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
38Yen-Kuang Chen, Eric Debes, Rainer Lienhart, Matthew J. Holliman, Minerva M. Yeung Evaluating and Improving Performance of Multimedia Applications on Simultaneous Multi-Threading. Search on Bibsonomy ICPADS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
38Rohit Jain, Christopher J. Hughes, Sarita V. Adve Soft Real- Time Scheduling on Simultaneous Multithreaded Processors. Search on Bibsonomy RTSS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
38Jesús Corbal, Roger Espasa, Mateo Valero DLP + TLP Processors for the Next Generation of Media Workloads. Search on Bibsonomy HPCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
38Jack L. Lo, Sujay S. Parekh, Susan J. Eggers, Henry M. Levy, Dean M. Tullsen Software-Directed Register Deallocation for Simultaneous Multithreaded Processors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF architecture, register file, simultaneous multithreading, Multithreaded architecture
38Chun-Mok Chung, Shin-Dug Kim A Dualthreaded Java Processor for Java Multithreading. Search on Bibsonomy ICPADS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
38Steven Wallace, Brad Calder, Dean M. Tullsen Threaded Multiple Path Execution. Search on Bibsonomy ISCA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
38Philip Garcia, Henry F. Korth Database hash-join algorithms on multithreaded computer architectures. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF performance, database, multithreading, software pipelining, SMT, memory performance, software prefetching, hash-join
38Marisa Gil, Ruben Pinilla Thread coloring: a scheduler proposal from user to hardware threads. Search on Bibsonomy ACM SIGOPS Oper. Syst. Rev. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF hyper-threading, thread coloring, scheduling, multithreaded, SMT, linux kernel
36Stéphane Graham-Lengrand, Mathias Preiner (eds.) Proceedings of the 21st International Workshop on Satisfiability Modulo Theories (SMT 2023) co-located with the 29th International Conference on Automated Deduction (CADE 2023), Rome, Italy, July, 5-6, 2023. Search on Bibsonomy SMT The full citation details ... 2023 DBLP  BibTeX  RDF
36Guillaume Bury, François Bobot Verifying Models with Dolmen. Search on Bibsonomy SMT The full citation details ... 2023 DBLP  BibTeX  RDF
36Philipp Bär, Jasper Nalbach, Erika Ábrahám, Christopher W. Brown 0001 Exploiting Strict Constraints in the Cylindrical Algebraic Covering. Search on Bibsonomy SMT The full citation details ... 2023 DBLP  BibTeX  RDF
36Fatemeh Heidari Soureshjani, Mathias Hall-Andersen, MohammadMahdi Jahanara, Jeffrey Kam, Jan Gorzny, Mohsen Ahmadvand Automated Analysis of Halo2 Circuits. Search on Bibsonomy SMT The full citation details ... 2023 DBLP  BibTeX  RDF
36Oded Padon Invited Talk: Deductive Verification of Distributed Protocols in Decidable Logics. Search on Bibsonomy SMT The full citation details ... 2023 DBLP  BibTeX  RDF
36Christopher Lynch, Stephen Miner Complete Trigger Selection in Satisfiability Modulo First-Order Theories. Search on Bibsonomy SMT The full citation details ... 2023 DBLP  BibTeX  RDF
36Thomas Hader, Laura Kovács An SMT Approach for Solving Polynomials over Finite Fields. Search on Bibsonomy SMT The full citation details ... 2022 DBLP  BibTeX  RDF
36Chad E. Brown, Mikolás Janota, Cezary Kaliszyk Abstract: Challenges and Solutions for Higher-Order SMT Proofs. Search on Bibsonomy SMT The full citation details ... 2022 DBLP  BibTeX  RDF
36Jochen Hoenicke, Tanja Schindler A Simple Proof Format for SMT. Search on Bibsonomy SMT The full citation details ... 2022 DBLP  BibTeX  RDF
36Zafer Esen, Philipp Rümmer An SMT-LIB Theory of Heaps. Search on Bibsonomy SMT The full citation details ... 2022 DBLP  BibTeX  RDF
36Nikolaj S. Bjørner, Clemens Eisenhofer, Laura Kovács User-Propagators for Custom Theories in SMT Solving. Search on Bibsonomy SMT The full citation details ... 2022 DBLP  BibTeX  RDF
36Milan Bankovic, David Scepanovic Trail Saving in SMT. Search on Bibsonomy SMT The full citation details ... 2022 DBLP  BibTeX  RDF
36Guy Katz Invited Talk: Using SMT and Abstraction-Refinement for Neural Network Verification. Search on Bibsonomy SMT The full citation details ... 2021 DBLP  BibTeX  RDF
36Guillaume Bury Dolmen: A Validator for SMT-LIB and Much More. Search on Bibsonomy SMT The full citation details ... 2021 DBLP  BibTeX  RDF
36Jan Jakubuv, Mikolás Janota, Andrew Reynolds 0001 Characteristic Subsets of SMT-LIB Benchmarks. Search on Bibsonomy SMT The full citation details ... 2021 DBLP  BibTeX  RDF
36Mooly Sagiv Invited Talk: Harnessing SMT Solvers for Verifying Low Level Programs. Search on Bibsonomy SMT The full citation details ... 2020 DBLP  BibTeX  RDF
36Joseph Scott, Federico Mora, Vijay Ganesh Abstract: BanditFuzz: A Reinforcement-Learning based Performance Fuzzer for SMT Solvers. Search on Bibsonomy SMT The full citation details ... 2020 DBLP  BibTeX  RDF
36Ákos Hajdu, Dejan Jovanovic Abstract: SMT-Friendly Formalization of the Solidity Memory Model. Search on Bibsonomy SMT The full citation details ... 2020 DBLP  BibTeX  RDF
36Zafer Esen, Philipp Rümmer Abstract: Towards an SMT-LIB Theory of Heap. Search on Bibsonomy SMT The full citation details ... 2020 DBLP  BibTeX  RDF
36Joseph Scott, Aina Niemetz, Mathias Preiner, Vijay Ganesh Abstract: MachSMT: A Machine Learning-based Algorithm Selector for SMT Solvers. Search on Bibsonomy SMT The full citation details ... 2020 DBLP  BibTeX  RDF
36Jelena Budakovic, Matteo Marescotti, Antti E. J. Hyvärinen, Natasha Sharygina Visualising SMT-Based Parallel Constraint Solving. Search on Bibsonomy SMT The full citation details ... 2017 DBLP  BibTeX  RDF
36Aina Niemetz, Mathias Preiner, Armin Biere Model-Based API Testing for SMT Solvers. Search on Bibsonomy SMT The full citation details ... 2017 DBLP  BibTeX  RDF
36Giles Reger, Martin Suda 0001, Andrei Voronkov Instantiation and Pretending to be an SMT Solver with Vampire. Search on Bibsonomy SMT The full citation details ... 2017 DBLP  BibTeX  RDF
36James Davenport SMT Nonlinear Real Arithmetic and Computer Algebra: a Dialogue of the Deaf? Search on Bibsonomy SMT The full citation details ... 2017 DBLP  BibTeX  RDF
36Philipp Rümmer, Christoph M. Wintersteiger (eds.) Proceedings of the 12th International Workshop on Satisfiability Modulo Theories, SMT 2014, affiliated with the 26th International Conference on Computer Aided Verification (CAV 2014), the 7th International Joint Conference on Automated Reasoning (IJCAR 2014), and the 17th International Conference on Theory and Applications of Satisfiability Testing (SAT 2014), Vienna, Austria, July 17-18, 2014. Search on Bibsonomy SMT The full citation details ... 2014 DBLP  BibTeX  RDF
36Francesco Alberti, Silvio Ghilardi, Natasha Sharygina Decision Procedures for Flat Array Properties. Search on Bibsonomy SMT The full citation details ... 2014 DBLP  BibTeX  RDF
36Edmund S. L. Lam, Iliano Cervesato Reasoning About Set Comprehensions. Search on Bibsonomy SMT The full citation details ... 2014 DBLP  BibTeX  RDF
36Konstantin Korovin, Marek Kosta, Thomas Sturm 0001 Towards Conflict-Driven Learning for Virtual Substitution. Search on Bibsonomy SMT The full citation details ... 2014 DBLP  BibTeX  RDF
36Hristina Palikareva, Cristian Cadar Multi-solver Support in Symbolic Execution. Search on Bibsonomy SMT The full citation details ... 2014 DBLP  BibTeX  RDF
36Mats Carlsson, Olga Grinchtein, Justin Pearson Protocol Log Analysis with Constraint Programming. Search on Bibsonomy SMT The full citation details ... 2014 DBLP  BibTeX  RDF
36Guillaume Melquiond Automating the Verification of Floating-Point Algorithms. Search on Bibsonomy SMT The full citation details ... 2014 DBLP  BibTeX  RDF
36Marek Kosta, Thomas Sturm 0001, Andreas Dolzmann Better Answers to Real Questions. Search on Bibsonomy SMT The full citation details ... 2014 DBLP  BibTeX  RDF
36Jürgen Christ, Jochen Hoenicke Weakly Equivalent Arrays. Search on Bibsonomy SMT The full citation details ... 2014 DBLP  BibTeX  RDF
35Tim King, Ruzica Piskac (eds.) Proceedings of the 14th International Workshop on Satisfiability Modulo Theories affiliated with the International Joint Conference on Automated Reasoning, SMT@IJCAR 2016, Coimbra, Portugal, July 1-2, 2016. Search on Bibsonomy SMT@IJCAR The full citation details ... 2016 DBLP  BibTeX  RDF
35Markus Bender Reasoning with Sets and Sums of Sets. Search on Bibsonomy SMT@IJCAR The full citation details ... 2016 DBLP  BibTeX  RDF
35Roberto Sebastiani, Patrick Trentin On the Benefits of Enhancing Optimization Modulo Theories with Sorting Networks for MaxSMT. Search on Bibsonomy SMT@IJCAR The full citation details ... 2016 DBLP  BibTeX  RDF
35Nik Sultana, Richard Mortier Kneecap: Model-based Generation of Network Traffic. Search on Bibsonomy SMT@IJCAR The full citation details ... 2016 DBLP  BibTeX  RDF
35Raphaël Berthon, Christophe Ringeissen Satisfiability Modulo Free Data Structures Combined with Bridging Functions. Search on Bibsonomy SMT@IJCAR The full citation details ... 2016 DBLP  BibTeX  RDF
35Martin Bromberger, Christoph Weidenbach Computing a Complete Basis for Equalities Implied by a System of LRA Constraints. Search on Bibsonomy SMT@IJCAR The full citation details ... 2016 DBLP  BibTeX  RDF
35Mikolás Janota, Christoph M. Wintersteiger On Intervals and Bounds in Bit-vector Arithmetic. Search on Bibsonomy SMT@IJCAR The full citation details ... 2016 DBLP  BibTeX  RDF
35Peter Backeman, Christoph M. Wintersteiger, Boyan Yordanov, Sara-Jane Dunn Algebraic Polynomial-based Synthesis for Abstract Boolean Network Analysis. Search on Bibsonomy SMT@IJCAR The full citation details ... 2016 DBLP  BibTeX  RDF
35Pascal Fontaine, Amit Goel (eds.) 10th International Workshop on Satisfiability Modulo Theories, SMT 2012, Manchester, UK, June 30 - July 1, 2012 Search on Bibsonomy SMT@IJCAR The full citation details ... 2013 DBLP  BibTeX  RDF
35Rohit Gupta, Raj Nath Patel, Ritesh Shah Learning Improved Reordering Models for Urdu, Farsi and Italian using SMT. Search on Bibsonomy SMT@COLING The full citation details ... 2012 DBLP  BibTeX  RDF
35Minwei Feng, Hermann Ney A Tagging-style Reordering Model for Phrase-based SMT. Search on Bibsonomy SMT@COLING The full citation details ... 2012 DBLP  BibTeX  RDF
35Anh-Dung Phan, Nikolaj S. Bjørner, David Monniaux Anatomy of Alternating Quantifier Satisfiability (Work in progress). Search on Bibsonomy SMT@IJCAR The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
35Armin Biere Practical Aspects of SAT Solving. Search on Bibsonomy SMT@IJCAR The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
35Stephan Falke 0001, Carsten Sinz, Florian Merz 0001 A Theory of Arrays with set and copy Operations. Search on Bibsonomy SMT@IJCAR The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
35Claire Dross, Sylvain Conchon, Johannes Kanig, Andrei Paskevich Reasoning with Triggers. Search on Bibsonomy SMT@IJCAR The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
35Nikolaj S. Bjørner, Kenneth L. McMillan, Andrey Rybalchenko Program Verification as Satisfiability Modulo Theories. Search on Bibsonomy SMT@IJCAR The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
35Michael Codish, Yoav Fekete, Carsten Fuhs, Jürgen Giesl, Johannes Waldmann Exotic Semi-Ring Constraints. Search on Bibsonomy SMT@IJCAR The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
35Gergely Kovásznai, Andreas Fröhlich, Armin Biere On the Complexity of Fixed-Size Bit-Vector Logics with Binary Encoded Bit-Width. Search on Bibsonomy SMT@IJCAR The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
35Francesco Alberti, Roberto Bruttomesso, Silvio Ghilardi, Silvio Ranise, Natasha Sharygina Reachability Modulo Theory Library. Search on Bibsonomy SMT@IJCAR The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
35Sava Krstic, Albert Oliveras (eds.) Proceedings of the 5th International Workshop on Satisfiability Modulo Theories, SMT@CAV 2007, Berlin, Germany, July 1-2, 2007 Search on Bibsonomy SMT@CAV The full citation details ... 2008 DBLP  BibTeX  RDF
Displaying result #101 - #200 of 2209 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license