Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
23 | Rajdeep Bhowmik, Chaitali Gupta, Madhusudhan Govindaraju, Aneesh Aggarwal |
Efficient XML-Based Grid Middleware Design for Multi-Core Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICWS ![In: 2007 IEEE International Conference on Web Services (ICWS 2007), July 9-13, 2007, Salt Lake City, Utah, USA, pp. 1197-1198, 2007, IEEE Computer Society, 0-7695-2924-0. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
23 | Xudong Shi 0003, Feiqi Su, Jih-Kwon Peir, Ye Xia 0001, Zhen Yang |
Modeling and Single-Pass Simulation of CMP Cache Capacity and Accessibility. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPASS ![In: 2007 IEEE International Symposium on Performance Analysis of Systems and Software, April 25-27, 2007, San Jose, California, USA, Proceedings, pp. 126-135, 2007, IEEE Computer Society, 1-4244-1081-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
multiple cache organization, single-pass simulation, on-chip storage space, on-chip cache capacity, single-pass stack simulation, global stack, shared stack, per-core private stack, single simulation pass, average memory access time, chip-multiprocessor, data replication, data accessibility, abstract model, reuse distances |
23 | Sebastian Herbert, Diana Marculescu |
Analysis of dynamic voltage/frequency scaling in chip-multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2007 International Symposium on Low Power Electronics and Design, 2007, Portland, OR, USA, August 27-29, 2007, pp. 38-43, 2007, ACM, 978-1-59593-709-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
chip-multiprocessor, dynamic voltage/frequency scaling |
23 | Mikhail Smelyanskiy, Victor W. Lee, Daehyun Kim 0001, Anthony D. Nguyen, Pradeep Dubey |
Scaling performance of interior-point method on large-scale chip multiprocessor system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SC ![In: Proceedings of the ACM/IEEE Conference on High Performance Networking and Computing, SC 2007, November 10-16, 2007, Reno, Nevada, USA, pp. 22, 2007, ACM Press, 978-1-59593-764-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
23 | Troy A. Johnson, Rudolf Eigenmann, T. N. Vijaykumar |
Speculative thread decomposition through empirical optimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PPoPP ![In: Proceedings of the 12th ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, PPOPP 2007, San Jose, California, USA, March 14-17, 2007, pp. 205-214, 2007, ACM, 978-1-59593-602-8. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
empirical search, chip multiprocessor, decomposition, multi-core, thread-level speculation |
23 | Sanjeev Kumar, Christopher J. Hughes, Anthony D. Nguyen |
Carbon: architectural support for fine-grained parallelism on chip multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 34th International Symposium on Computer Architecture (ISCA 2007), June 9-13, 2007, San Diego, California, USA, pp. 162-173, 2007, ACM, 978-1-59593-706-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
loop and task parallelism, CMP, architectural support |
23 | Georgios Keramidas, Pavlos Petoumenos, Stefanos Kaxiras, Alexandros Antonopoulos, Dimitrios N. Serpanos |
Preventing Denial-of-Service Attacks in Shared CMP Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAMOS ![In: Embedded Computer Systems: Architectures, Modeling, and Simulation, 6th International Workshop, SAMOS 2006, Samos, Greece, July 17-20, 2006, Proceedings, pp. 359-372, 2006, Springer, 3-540-36410-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
23 | Myungho Lee, Yeonseung Ryu, Sugwon Hong, Chungki Lee |
Performance Impact of Resource Conflicts on Chip Multi-processor Servers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PARA ![In: Applied Parallel Computing. State of the Art in Scientific Computing, 8th International Workshop, PARA 2006, Umeå, Sweden, June 18-21, 2006, Revised Selected Papers, pp. 1168-1177, 2006, Springer, 978-3-540-75754-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
23 | Jack Sampson, Rubén González, Jean-Francois Collard, Norman P. Jouppi, Michael S. Schlansker, Brad Calder |
Exploiting Fine-Grained Data Parallelism with Chip Multiprocessors and Fast Barriers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-39 2006), 9-13 December 2006, Orlando, Florida, USA, pp. 235-246, 2006, IEEE Computer Society, 0-7695-2732-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
23 | Stanley L. C. Fung, J. Gregory Steffan |
Improving cache locality for thread-level speculation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 20th International Parallel and Distributed Processing Symposium (IPDPS 2006), Proceedings, 25-29 April 2006, Rhodes Island, Greece, 2006, IEEE. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
23 | Xudong Shi 0003, Zhen Yang, Jih-Kwon Peir, Lu Peng 0001, Yen-Kuang Chen, Victor W. Lee, B. Liang |
Coterminous locality and coterminous group data prefetching on chip-multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 20th International Parallel and Distributed Processing Symposium (IPDPS 2006), Proceedings, 25-29 April 2006, Rhodes Island, Greece, 2006, IEEE. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
23 | Dan Wallin, Henrik Löf, Erik Hagersten, Sverker Holmgren |
Multigrid and Gauss-Seidel smoothers revisited: parallelization on chip multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 20th Annual International Conference on Supercomputing, ICS 2006, Cairns, Queensland, Australia, June 28 - July 01, 2006, pp. 145-155, 2006, ACM, 1-59593-282-8. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Gauss-Seidel, temporal blocking, CMP, OpenMP, relaxation, orderings, multigrid, Poisson equation, cache blocking |
23 | Michela Becchi, Patrick Crowley |
Dynamic thread assignment on heterogeneous multiprocessor architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Conf. Computing Frontiers ![In: Proceedings of the Third Conference on Computing Frontiers, 2006, Ischia, Italy, May 3-5, 2006, pp. 29-40, 2006, ACM, 1-59593-302-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
simulation, chip multiprocessor, heterogeneous architectures |
23 | Björn Jäger, Mario Porrmann, Ulrich Rückert 0001 |
Bio-inspired massively parallel architectures for nanotechnologies. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: International Symposium on Circuits and Systems (ISCAS 2006), 21-24 May 2006, Island of Kos, Greece, 2006, IEEE, 0-7803-9389-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
23 | Michael R. Marty, Jesse D. Bingham, Mark D. Hill, Alan J. Hu, Milo M. K. Martin, David A. Wood 0001 |
Improving Multiple-CMP Systems Using Token Coherence. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: 11th International Conference on High-Performance Computer Architecture (HPCA-11 2005), 12-16 February 2005, San Francisco, CA, USA, pp. 328-339, 2005, IEEE Computer Society, 0-7695-2275-0. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
23 | Jose Renau, James Tuck 0001, Wei Liu 0014, Luis Ceze, Karin Strauss, Josep Torrellas |
Tasking with out-of-order spawn in TLS chip multiprocessors: microarchitecture and compilation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 19th Annual International Conference on Supercomputing, ICS 2005, Cambridge, Massachusetts, USA, June 20-22, 2005, pp. 179-188, 2005, ACM, 1-59593-167-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
23 | Philo Juang, Qiang Wu, Li-Shiuan Peh, Margaret Martonosi, Douglas W. Clark |
Coordinated, distributed, formal energy management of chip multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005, San Diego, California, USA, August 8-10, 2005, pp. 127-130, 2005, ACM, 1-59593-137-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
power, dynamic voltage scaling |
23 | Kyriakos Stavrou, Pedro Trancoso |
TSIC: Thermal Scheduling Simulator for Chip Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Panhellenic Conference on Informatics ![In: Advances in Informatics, 10th Panhellenic Conference on Informatics, PCI 2005, Volos, Greece, November 11-13, 2005, Proceedings, pp. 589-599, 2005, Springer, 3-540-29673-5. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
23 | Murali Annavaram, Ed Grochowski, John Paul Shen |
Mitigating Amdahl's Law through EPI Throttling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 32st International Symposium on Computer Architecture (ISCA 2005), 4-8 June 2005, Madison, Wisconsin, USA, pp. 298-309, 2005, IEEE Computer Society, 978-0-7695-2270-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
23 | Troy A. Johnson, Rudolf Eigenmann, T. N. Vijaykumar |
Min-cut program decomposition for thread-level speculation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the ACM SIGPLAN 2004 Conference on Programming Language Design and Implementation 2004, Washington, DC, USA, June 9-11, 2004, pp. 59-70, 2004, ACM, 1-58113-807-5. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
partitioning, chip multiprocessor, thread-level speculation, min-cut, program decomposition |
23 | Mohamed A. Gomaa, Michael D. Powell, T. N. Vijaykumar |
Heat-and-run: leveraging SMT and CMP to manage power density through the operating system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: Proceedings of the 11th International Conference on Architectural Support for Programming Languages and Operating Systems, ASPLOS 2004, Boston, MA, USA, October 7-13, 2004, pp. 260-270, 2004, ACM, 1-58113-804-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
CMP, migration, SMT, heat, power density |
23 | Venkata Krishnan, Josep Torrellas |
The Need for Fast Communication in Hardware-Based Speculative Chip Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE PACT ![In: Proceedings of the 1999 International Conference on Parallel Architectures and Compilation Techniques, Newport Beach, California, USA, October 12-16, 1999, pp. 24-33, 1999, IEEE Computer Society, 0-7695-0425-6. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
register communication, Chip-multiprocessor, speculative multithreading, data-dependence speculation |
19 | Mohammad Hashem Haghbayan, Antonio Miele, Onur Mutlu, Juha Plosila |
Run-Time Resource Management in CMPs Handling Multiple Aging Mechanisms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 72(10), pp. 2872-2887, October 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
19 | Wangqian Ju, Heike Hofmann |
An Open-Source Implementation of the CMPS Algorithm for Assessing Similarity of Bullets. ![Search on Bibsonomy](Pics/bibsonomy.png) |
R J. ![In: R J. 14(2), pp. 268-287, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
19 | Michael Toth, Nataliia Bielova, Vincent Roca |
On dark patterns and manipulation of website publishers by CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Proc. Priv. Enhancing Technol. ![In: Proc. Priv. Enhancing Technol. 2022(3), pp. 478-497, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
19 | Ahmad Siavashi, Mahmoud Momtazpour |
Yield-aware joint die packing, die matching and static thread mapping for hard real-time 3D embedded CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Microprocess. Microsystems ![In: Microprocess. Microsystems 92, pp. 104543, July 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
19 | Hemanta Kumar Mondal, Sarnava Konar, Poulomi Hore, Ramapati Patra, Pradipta Sarkar, Sujay Deb |
Interconnect support for energy efficient and high bandwidth memory access in CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Sustain. Comput. Informatics Syst. ![In: Sustain. Comput. Informatics Syst. 34, pp. 100720, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
19 | Jian Wang 0024, Zhe Chen, Shize Guo, Yubai Li, Zhonghai Lu |
Optimal Sprinting Pattern in Thermal Constrained CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Emerg. Top. Comput. ![In: IEEE Trans. Emerg. Top. Comput. 9(1), pp. 484-495, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
19 | Solomon Abera, M. Balakrishnan, Anshul Kumar |
Performance-Energy Trade-off in Modern CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Archit. Code Optim. ![In: ACM Trans. Archit. Code Optim. 18(1), pp. 3:1-3:26, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
19 | Tadej Petric |
Phase-Synchronized Learning of Periodic Compliant Movement Primitives (P-CMPs). ![Search on Bibsonomy](Pics/bibsonomy.png) |
Frontiers Neurorobotics ![In: Frontiers Neurorobotics 14, pp. 599889, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
19 | Arghavan Asad, Furat Al-Obaidy, Farah Mohammadi 0001 |
Efficient Power Consumption using Hybrid Emerging Memory Technology for 3D CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LASCAS ![In: 11th IEEE Latin American Symposium on Circuits & Systems, LASCAS 2020, San Jose, Costa Rica, February 25-28, 2020, pp. 1-4, 2020, IEEE, 978-1-7281-3427-7. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
19 | Theodoros Marinakis, Iraklis Anagnostopoulos |
Performance and Fairness Improvement on CMPs Considering Bandwidth and Cache Utilization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Comput. Archit. Lett. ![In: IEEE Comput. Archit. Lett. 18(2), pp. 145-148, 2019. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
19 | Shize Guo, Jian Wang 0024, Zhe Chen, Zhonghai Lu, Jinhong Guo, Lian Yang |
Security-Aware Task Mapping Reducing Thermal Side Channel Leakage in CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Ind. Informatics ![In: IEEE Trans. Ind. Informatics 15(10), pp. 5435-5443, 2019. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
19 | Alexandra Ferrerón-Labari, Jesús Alastruey-Benedé, Darío Suárez Gracia, Teresa Monreal Arnal, Pablo Ibáñez-Marín, Víctor Viñals Yúfera |
A fault-tolerant last level cache for CMPs operating at ultra-low voltage. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Parallel Distributed Comput. ![In: J. Parallel Distributed Comput. 125, pp. 31-44, 2019. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
19 | Subodha Charles, Alif Ahmed, Ümit Y. Ogras, Prabhat Mishra 0001 |
Efficient Cache Reconfiguration Using Machine Learning in NoC-Based Many-Core CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 24(6), pp. 60:1-60:23, 2019. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
19 | Pratik Gajane, Ronald Ortner, Peter Auer, Csaba Szepesvári |
Autonomous exploration for navigating in non-stationary CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1910.08446, 2019. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP BibTeX RDF |
|
19 | Marco Pranzo, Somnath Mazumdar |
An analytical model for thread-core mapping for tiled CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Perform. Evaluation ![In: Perform. Evaluation 134, 2019. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
19 | Suvadip Hazra, Mamata Dalui |
Cellular Automata Based Solution for Detecting Hardware Trojan in CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICITAM ![In: Recent Advances in Intelligent Information Systems and Applied Mathematics, International Conference on Information Technology and Applied Mathematics, ICITAM 2019, Haldia, India, 7-9 March 2019., pp. 644-655, 2019, Springer, 978-3-030-34151-0. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
19 | Jeckson Dellagostin Souza, Antonio Carlos Schneider Beck Filho |
Trimming the ISA to Optimize Area and EDP in Heterogeneous CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SBAC-PAD ![In: 31st International Symposium on Computer Architecture and High Performance Computing, SBAC-PAD 2019, Campo Grande, Brazil, October 15-18, 2019, pp. 17-24, 2019, IEEE, 978-1-7281-4194-7. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
19 | Mohaddeseh Hoveida, Fatemeh Aghaaliakbari, Majid Jalili 0001, Ramin Bashizade, Mohammad Arjomand, Hamid Sarbazi-Azad |
Chapter Two - Revisiting Processor Allocation and Application Mapping in Future CMPs in Dark Silicon Era. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Adv. Comput. ![In: Adv. Comput. 110, pp. 35-81, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
19 | Amin Jadidi, Mohammad Arjomand, Mahmut T. Kandemir, Chita R. Das |
Performance and Power-Efficient Design of Dense Non-Volatile Cache in CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 67(7), pp. 1054-1061, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
19 | José L. Abellán, Eduardo Padierna, Alberto Ros 0001, Manuel E. Acacio |
Photonic-based express coherence notifications for many-core CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Parallel Distributed Comput. ![In: J. Parallel Distributed Comput. 113, pp. 179-194, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
19 | Sina Shahhosseini, Kasra Moazzemi, Amir M. Rahmani, Nikil D. Dutt |
On the feasibility of SISO control-theoretic DVFS for power capping in CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Microprocess. Microsystems ![In: Microprocess. Microsystems 63, pp. 249-258, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
19 | Bidesh Chakraborty, Mamata Dalui, Biplab K. Sikdar |
Design of a Reliable Cache System for Heterogeneous CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Circuits Syst. Comput. ![In: J. Circuits Syst. Comput. 27(14), pp. 1850219:1-1850219:28, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
19 | Mohammadreza Soltaniyeh, Ismail Kadayif, Ozcan Ozturk 0001 |
Classifying Data Blocks at Subpage Granularity With an On-Chip Page Table to Improve Coherence in Tiled CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 37(4), pp. 806-819, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
19 | Paolo Grani, Sandro Bartolini |
Scalable Path-Setup Scheme for All-Optical Dynamic Circuit Switched NoCs in Cache Coherent CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM J. Emerg. Technol. Comput. Syst. ![In: ACM J. Emerg. Technol. Comput. Syst. 14(1), pp. 12:1-12:27, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
19 | Gabriele Mencagli, Marco Vanneschi, Silvia Lametti |
The home-forwarding mechanism to reduce the cache coherence overhead in next-generation CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Future Gener. Comput. Syst. ![In: Future Gener. Comput. Syst. 82, pp. 493-509, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
19 | Subodha Charles, Chetan Arvind Patil, Ümit Y. Ogras, Prabhat Mishra 0001 |
Exploration of Memory and Cluster Modes in Directory-Based Many-Core CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NOCS ![In: Twelfth IEEE/ACM International Symposium on Networks-on-Chip, NOCS 2018, Torino, Italy, October 4-5, 2018, pp. 2:1-2:8, 2018, IEEE, 978-1-5386-4893-3. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
19 | Bryan Donyanavard, Amir M. Rahmani, Tiago Mück, Kasra Moazemmi, Nikil D. Dutt |
Gain scheduled control for nonlinear power management in CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2018 Design, Automation & Test in Europe Conference & Exhibition, DATE 2018, Dresden, Germany, March 19-23, 2018, pp. 921-924, 2018, IEEE, 978-3-9819263-0-9. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
19 | Solomon Abera, M. Balakrishnan, Anshul Kumar |
Performance-Energy Trade-off in CMPs with Per-Core DVFS. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ARCS ![In: Architecture of Computing Systems - ARCS 2018 - 31st International Conference, Braunschweig, Germany, April 9-12, 2018, Proceedings, pp. 225-238, 2018, Springer, 978-3-319-77609-5. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
19 | Woo-Cheol Kwon |
Co-design of on-chip caches and networks for scalable shared-memory many-core CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2018 |
RDF |
|
19 | Shirshendu Das, Hemangee K. Kapoor |
Dynamic Associativity Management in Tiled CMPs by Runtime Adaptation of Fellow Sets. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 28(8), pp. 2229-2243, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
19 | Albert Esteve, Alberto Ros 0001, María Engracia Gómez, Antonio Robles, José Duato |
TLB-Based Temporality-Aware Classification in CMPs with Multilevel TLBs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 28(8), pp. 2401-2413, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
19 | Shounak Chakraborty 0001, Hemangee K. Kapoor |
Performance linked dynamic cache tuning: A static energy reduction approach in tiled CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Microprocess. Microsystems ![In: Microprocess. Microsystems 52, pp. 221-235, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
19 | Fengkai Yuan, Zhenzhou Ji, Zhongchuan Fu |
RACMan: Replication-aware cache management for manycore CMPs with private LLCs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Microprocess. Microsystems ![In: Microprocess. Microsystems 51, pp. 165-175, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
19 | Mamata Dalui, Biplab K. Sikdar |
A cellular automata based self-correcting protocol processor for scalable CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Microelectron. J. ![In: Microelectron. J. 62, pp. 108-119, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
19 | Baisakhi Das, Biplab K. Sikdar |
Evaluating impact on CMPs' power for design inaccuracy diagnosis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Comput. Appl. Technol. ![In: Int. J. Comput. Appl. Technol. 56(3), pp. 198-209, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
19 | Pooneh Safayenikoo, Arghavan Asad, Mahmood Fathy, Farah Mohammadi 0001 |
Exploiting non-uniformity of write accesses for designing a high-endurance hybrid Last Level Cache in 3D CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CCECE ![In: 30th IEEE Canadian Conference on Electrical and Computer Engineering, CCECE 2017, Windsor, ON, Canada, April 30 - May 3, 2017, pp. 1-5, 2017, IEEE, 978-1-5090-5538-8. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
19 | Bidesh Chakraborty, Mamata Dalui, Biplab K. Sikdar |
Design of Coherence Verification Unit for Heterogeneous CMPs Integrating Update and Invalidate Protocols. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSID ![In: 30th International Conference on VLSI Design and 16th International Conference on Embedded Systems, VLSID 2017, Hyderabad, India, January 7-11, 2017, pp. 115-120, 2017, IEEE Computer Society, 978-1-5090-5740-5. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
19 | Hadi Asgharimoghaddam, Nam Sung Kim |
SpinWise: A Practical Energy-Efficient Synchronization Technique for CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGARCH Comput. Archit. News ![In: SIGARCH Comput. Archit. News 44(1), pp. 1-8, 2016. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
19 | Mamata Dalui, Biplab K. Sikdar |
A Cache System Design for CMPs with Built-In Coherence Verification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: VLSI Design 2016, pp. 8093614:1-8093614:16, 2016. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
19 | Martí Torrents, Raúl Martínez, Carlos Molina |
Facing prefetching challenges in distributed shared memories for CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Supercomput. ![In: J. Supercomput. 72(4), pp. 1453-1476, 2016. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
19 | Xiaofei Liao, Rentong Guo, Danping Yu, Hai Jin 0001, Li Lin 0001 |
A Phase Behavior Aware Dynamic Cache Partitioning Scheme for CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Parallel Program. ![In: Int. J. Parallel Program. 44(1), pp. 68-86, 2016. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
19 | Marta Ortín-Obón, Darío Suárez Gracia, María Villarroya-Gaudó, Cruz Izu, Víctor Viñals |
Reactive circuits: Dynamic construction of circuits for reactive traffic in homogeneous CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Parallel Distributed Comput. ![In: J. Parallel Distributed Comput. 95, pp. 57-68, 2016. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
19 | Zhonghai Lu, Yuan Yao 0009 |
Aggregate Flow-Based Performance Fairness in CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Archit. Code Optim. ![In: ACM Trans. Archit. Code Optim. 13(4), pp. 53:1-53:27, 2016. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
19 | Shounak Chakraborty 0001, Shirshendu Das, Hemangee K. Kapoor |
Static energy efficient cache reconfiguration for dynamic NUCA in tiled CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 31st Annual ACM Symposium on Applied Computing, Pisa, Italy, April 4-8, 2016, pp. 1739-1744, 2016, ACM, 978-1-4503-3739-7. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
19 | Shirshendu Das, Hemangee K. Kapoor |
Dynamic associativity enabled DNUCA to improve block localisation in tiled CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 31st Annual ACM Symposium on Applied Computing, Pisa, Italy, April 4-8, 2016, pp. 1745-1750, 2016, ACM, 978-1-4503-3739-7. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
19 | Nasibeh Teimouri, Hamed Tabkhi, Gunar Schirner |
Improving scalability of CMPs with dense ACCs coverage. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2016 Design, Automation & Test in Europe Conference & Exhibition, DATE 2016, Dresden, Germany, March 14-18, 2016, pp. 1610-1615, 2016, IEEE, 978-3-9815-3707-9. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP BibTeX RDF |
|
19 | Yuan Yao 0009, Zhonghai Lu |
Memory-access aware DVFS for network-on-chip in CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2016 Design, Automation & Test in Europe Conference & Exhibition, DATE 2016, Dresden, Germany, March 14-18, 2016, pp. 1433-1436, 2016, IEEE, 978-3-9815-3707-9. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP BibTeX RDF |
|
19 | Bidesh Chakraborty, Mamata Dalui, Biplab K. Sikdar |
CA based protocol processor for heterogeneous CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISED ![In: Sixth International Symposium on Embedded Computing and System Design, ISED 2016, Patna, India, December 15-17, 2016, pp. 254-258, 2016, IEEE, 978-1-5090-2541-1. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
19 | Mamata Dalui, Tannishtha Som, Shivani Bansal, Shivam Pant, Biplab K. Sikdar |
MASI: An eviction aware cache coherence protocol for CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISED ![In: Sixth International Symposium on Embedded Computing and System Design, ISED 2016, Patna, India, December 15-17, 2016, pp. 249-253, 2016, IEEE, 978-1-5090-2541-1. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
19 | Baisakhi Das, Supreeti Kamilya, Biplab K. Sikdar |
Design of CA based scheme for evenhanded data migration in CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISED ![In: Sixth International Symposium on Embedded Computing and System Design, ISED 2016, Patna, India, December 15-17, 2016, pp. 117-121, 2016, IEEE, 978-1-5090-2541-1. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
19 | Yuan Yao 0009, Zhonghai Lu |
DVFS for NoCs in CMPs: A thread voting approach. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: 2016 IEEE International Symposium on High Performance Computer Architecture, HPCA 2016, Barcelona, Spain, March 12-16, 2016, pp. 309-320, 2016, IEEE Computer Society, 978-1-4673-9211-2. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
19 | Rajiv Nishtala, Xavier Martorell |
RePP-C: Runtime estimation of performance-power with workload consolidation in CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IGSC ![In: Seventh International Green and Sustainable Computing Conference, IGSC 2016, Hangzhou, China, November 7-9, 2016, pp. 1-8, 2016, IEEE Computer Society, 978-1-5090-5117-5. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
19 | Masayuki Sato 0001, Shin Nishimura, Ryusuke Egawa, Hiroyuki Takizawa, Hiroaki Kobayashi |
A cache partitioning mechanism to protect shared data for CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
COOL Chips ![In: 2016 IEEE Symposium in Low-Power and High-Speed Chips, COOL CHIPS XIX, Yokohama, Japan, April 20-22, 2016, pp. 1-2, 2016, IEEE Computer Society, 978-1-5090-1386-9. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
19 | Alberto Scionti, Somnath Mazumdar, Antoni Portero |
Software defined Network-on-Chip for scalable CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCS ![In: International Conference on High Performance Computing & Simulation, HPCS 2016, Innsbruck, Austria, July 18-22, 2016, pp. 112-115, 2016, IEEE, 978-1-5090-2088-1. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
19 | Yuan Yao 0009, Zhonghai Lu |
Opportunistic Competition Overhead Reduction for Expediting Critical Section in NoC Based CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 43rd ACM/IEEE Annual International Symposium on Computer Architecture, ISCA 2016, Seoul, South Korea, June 18-22, 2016, pp. 279-290, 2016, IEEE Computer Society, 978-1-4673-8947-1. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
19 | Bidesh Chakraborty, Mamata Dalui, Biplab K. Sikdar |
Design of coherence verification unit for CMPs realizing dragon protocol. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VDAT ![In: 20th International Symposium on VLSI Design and Test, VDAT 2016, Guwahati, India, May 24-27, 2016, pp. 1-6, 2016, IEEE, 978-1-5090-1422-4. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
19 | Joan J. Valls, Alberto Ros 0001, Julio Sahuquillo, María Engracia Gómez |
PS directory: a scalable multilevel directory cache for CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Supercomput. ![In: J. Supercomput. 71(8), pp. 2847-2876, 2015. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
19 | Prasanna Venkatesh Rengasamy, Anand Sivasubramaniam, Mahmut T. Kandemir, Chita R. Das |
Exploiting Staleness for Approximating Loads on CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PACT ![In: 2015 International Conference on Parallel Architectures and Compilation, PACT 2015, San Francisco, CA, USA, October 18-21, 2015, pp. 343-354, 2015, IEEE Computer Society, 978-1-4673-9524-3. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
19 | Hemangee K. Kapoor, Shirshendu Das, Shounak Chakraborty 0001 |
Static energy reduction by performance linked cache capacity management in tiled CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 30th Annual ACM Symposium on Applied Computing, Salamanca, Spain, April 13-17, 2015, pp. 1913-1918, 2015, ACM, 978-1-4503-3196-8. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
19 | Yi-Jung Chen, Chia-Lin Yang, Ping-Sheng Lin, Yi-Chang Lu |
Thermal/performance characterization of CMPs with 3D-stacked DRAMs under synergistic voltage-frequency control of cores and DRAMs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RACS ![In: Proceedings of the 2015 Conference on research in adaptive and convergent systems, RACS 2015, Prague, Czech Republic, October 9-12, 2015, pp. 430-436, 2015, ACM, 978-1-4503-3738-0. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
19 | Rajiv Nishtala, Marc González Tallada, Xavier Martorell |
A Methodology to Build Models and Predict Performance-Power in CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP Workshops ![In: 44th International Conference on Parallel Processing Workshops, ICPPW 2015, Beijing, China, September 1-4, 2015, pp. 193-202, 2015, IEEE Computer Society, 978-1-4673-7589-4. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
19 | Martí Torrents, Raúl Martínez, Carlos Molina |
Prefetching Challenges in Distributed Memories for CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCS ![In: Proceedings of the International Conference on Computational Science, ICCS 2015, Computational Science at the Gates of Nature, Reykjavík, Iceland, 1-3 June, 2015, 2014, pp. 1463-1472, 2015, Elsevier. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
19 | Alirad Malek, Stavros Tzilis, Danish Anis Khan, Ioannis Sourdis, Georgios Smaragdos, Christos Strydis |
Reducing the performance overhead of resilient CMPs with substitutable resources. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DFTS ![In: 2015 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, DFTS 2015, Amherst, MA, USA, October 12-14, 2015, pp. 191-196, 2015, IEEE Computer Society, 978-1-4799-8606-4. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
19 | Nikola Markovic, Daniel Nemirovsky, Osman S. Unsal, Mateo Valero, Adrián Cristal |
Performance and Energy Efficient Hardware-Based Scheduler for Symmetric/Asymmetric CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SBAC-PAD ![In: 27th International Symposium on Computer Architecture and High Performance Computing, SBAC-PAD 2015, Florianópolis, Brazil, October 17-21, 2015, pp. 33-40, 2015, IEEE Computer Society, 978-1-4673-8011-9. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
19 | Shirshendu Das, Hemangee K. Kapoor |
Exploration of Migration and Replacement Policies for Dynamic NUCA over Tiled CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSID ![In: 28th International Conference on VLSI Design, VLSID 2015, Bangalore, India, January 3-7, 2015, pp. 141-146, 2015, IEEE Computer Society, 978-1-4799-6658-5. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
19 | Saha Mousumi, Navneet Kumar Gautam, Biplab K. Sikdar |
A fault tolerant test hardware for L1 cache module in tile CMPs architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VDAT ![In: 19th International Symposium on VLSI Design and Test, VDAT 2015, Ahmedabad, India, June 26-29, 2015, pp. 1-6, 2015, IEEE Computer Society, 978-1-4799-1743-3. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
19 | Bidesh Chakraborty, Bhanu Pratap Singh, M. Chinnapureddy, Mamata Dalui, Biplab K. Sikdar |
Design of coherence verification unit for heterogeneous CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VDAT ![In: 19th International Symposium on VLSI Design and Test, VDAT 2015, Ahmedabad, India, June 26-29, 2015, pp. 1-6, 2015, IEEE Computer Society, 978-1-4799-1743-3. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
19 | Nasibeh Teimouri, Hamed Tabkhi, Gunar Schirner |
Revisiting accelerator-rich CMPs: challenges and solutions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015, pp. 84:1-84:6, 2015, ACM, 978-1-4503-3520-1. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
19 | Christopher Callum Thompson |
On the simulation and design of manycore CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2015 |
RDF |
|
19 | Nikola Markovic |
Hardware thread scheduling algorithms for single-ISA asymmetric CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2015 |
RDF |
|
19 | Josué Feliu, Salvador Petit, Julio Sahuquillo, José Duato |
Cache-Hierarchy Contention-Aware Scheduling in CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 25(3), pp. 581-590, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
19 | Weiwei Fu, Li Liu 0006, Tianzhou Chen |
Direct distributed memory access for CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Parallel Distributed Comput. ![In: J. Parallel Distributed Comput. 74(2), pp. 2109-2122, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
19 | Da Cheng, Sandeep K. Gupta 0001 |
Maximizing Yield per Area of Highly Parallel CMPs Using Hardware Redundancy. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 33(10), pp. 1545-1558, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
19 | Jason Cong, Mohammad Ali Ghodrat, Michael Gill, Beayna Grigorian, Glenn Reinman |
Architecture Support for Domain-Specific Accelerator-Rich CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Embed. Comput. Syst. ![In: ACM Trans. Embed. Comput. Syst. 13(4s), pp. 131:1-131:26, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
19 | Martí Torrents, Raúl Martínez, Carlos Molina |
Network aware performance evaluation of prefetching techniques in CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Simul. Model. Pract. Theory ![In: Simul. Model. Pract. Theory 45, pp. 1-17, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
19 | Biswabandan Panda, Shankar Balachandran |
XStream: cross-core spatial streaming based MLC prefetchers for parallel applications in CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PACT ![In: International Conference on Parallel Architectures and Compilation, PACT '14, Edmonton, AB, Canada, August 24-27, 2014, pp. 87-98, 2014, ACM, 978-1-4503-2809-8. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
19 | Alexandros-Herodotos Haritatos, Georgios I. Goumas, Nikos Anastopoulos, Konstantinos Nikas, Kornilios Kourtis, Nectarios Koziris |
LCA: a memory link and cache-aware co-scheduling approach for CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PACT ![In: International Conference on Parallel Architectures and Compilation, PACT '14, Edmonton, AB, Canada, August 24-27, 2014, pp. 469-470, 2014, ACM, 978-1-4503-2809-8. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
19 | Weiwei Fu, Mingmin Yuan, Tianzhou Chen, Li Liu 0006 |
Agent-Based Memory Access for Many-Core CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPDC ![In: IEEE 13th International Symposium on Parallel and Distributed Computing, ISPDC 2014, Marseille, France, June 24-27, 2014, pp. 43-50, 2014, IEEE, 978-1-4799-5918-1. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|