The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for cmps with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1988-2004 (18) 2005 (20) 2006 (38) 2007 (61) 2008 (58) 2009 (61) 2010 (43) 2011 (34) 2012 (24) 2013 (23) 2014 (19) 2015-2016 (33) 2017-2018 (20) 2019-2022 (16) 2023 (1)
Publication types (Num. hits)
article(100) inproceedings(365) phdthesis(4)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 391 occurrences of 212 keywords

Results
Found 469 publication records. Showing 469 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
23Rajdeep Bhowmik, Chaitali Gupta, Madhusudhan Govindaraju, Aneesh Aggarwal Efficient XML-Based Grid Middleware Design for Multi-Core Processors. Search on Bibsonomy ICWS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Xudong Shi 0003, Feiqi Su, Jih-Kwon Peir, Ye Xia 0001, Zhen Yang Modeling and Single-Pass Simulation of CMP Cache Capacity and Accessibility. Search on Bibsonomy ISPASS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF multiple cache organization, single-pass simulation, on-chip storage space, on-chip cache capacity, single-pass stack simulation, global stack, shared stack, per-core private stack, single simulation pass, average memory access time, chip-multiprocessor, data replication, data accessibility, abstract model, reuse distances
23Sebastian Herbert, Diana Marculescu Analysis of dynamic voltage/frequency scaling in chip-multiprocessors. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF chip-multiprocessor, dynamic voltage/frequency scaling
23Mikhail Smelyanskiy, Victor W. Lee, Daehyun Kim 0001, Anthony D. Nguyen, Pradeep Dubey Scaling performance of interior-point method on large-scale chip multiprocessor system. Search on Bibsonomy SC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Troy A. Johnson, Rudolf Eigenmann, T. N. Vijaykumar Speculative thread decomposition through empirical optimization. Search on Bibsonomy PPoPP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF empirical search, chip multiprocessor, decomposition, multi-core, thread-level speculation
23Sanjeev Kumar, Christopher J. Hughes, Anthony D. Nguyen Carbon: architectural support for fine-grained parallelism on chip multiprocessors. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF loop and task parallelism, CMP, architectural support
23Georgios Keramidas, Pavlos Petoumenos, Stefanos Kaxiras, Alexandros Antonopoulos, Dimitrios N. Serpanos Preventing Denial-of-Service Attacks in Shared CMP Caches. Search on Bibsonomy SAMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Myungho Lee, Yeonseung Ryu, Sugwon Hong, Chungki Lee Performance Impact of Resource Conflicts on Chip Multi-processor Servers. Search on Bibsonomy PARA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Jack Sampson, Rubén González, Jean-Francois Collard, Norman P. Jouppi, Michael S. Schlansker, Brad Calder Exploiting Fine-Grained Data Parallelism with Chip Multiprocessors and Fast Barriers. Search on Bibsonomy MICRO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Stanley L. C. Fung, J. Gregory Steffan Improving cache locality for thread-level speculation. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Xudong Shi 0003, Zhen Yang, Jih-Kwon Peir, Lu Peng 0001, Yen-Kuang Chen, Victor W. Lee, B. Liang Coterminous locality and coterminous group data prefetching on chip-multiprocessors. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Dan Wallin, Henrik Löf, Erik Hagersten, Sverker Holmgren Multigrid and Gauss-Seidel smoothers revisited: parallelization on chip multiprocessors. Search on Bibsonomy ICS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Gauss-Seidel, temporal blocking, CMP, OpenMP, relaxation, orderings, multigrid, Poisson equation, cache blocking
23Michela Becchi, Patrick Crowley Dynamic thread assignment on heterogeneous multiprocessor architectures. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF simulation, chip multiprocessor, heterogeneous architectures
23Björn Jäger, Mario Porrmann, Ulrich Rückert 0001 Bio-inspired massively parallel architectures for nanotechnologies. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Michael R. Marty, Jesse D. Bingham, Mark D. Hill, Alan J. Hu, Milo M. K. Martin, David A. Wood 0001 Improving Multiple-CMP Systems Using Token Coherence. Search on Bibsonomy HPCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Jose Renau, James Tuck 0001, Wei Liu 0014, Luis Ceze, Karin Strauss, Josep Torrellas Tasking with out-of-order spawn in TLS chip multiprocessors: microarchitecture and compilation. Search on Bibsonomy ICS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Philo Juang, Qiang Wu, Li-Shiuan Peh, Margaret Martonosi, Douglas W. Clark Coordinated, distributed, formal energy management of chip multiprocessors. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF power, dynamic voltage scaling
23Kyriakos Stavrou, Pedro Trancoso TSIC: Thermal Scheduling Simulator for Chip Multiprocessors. Search on Bibsonomy Panhellenic Conference on Informatics The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Murali Annavaram, Ed Grochowski, John Paul Shen Mitigating Amdahl's Law through EPI Throttling. Search on Bibsonomy ISCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Troy A. Johnson, Rudolf Eigenmann, T. N. Vijaykumar Min-cut program decomposition for thread-level speculation. Search on Bibsonomy PLDI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF partitioning, chip multiprocessor, thread-level speculation, min-cut, program decomposition
23Mohamed A. Gomaa, Michael D. Powell, T. N. Vijaykumar Heat-and-run: leveraging SMT and CMP to manage power density through the operating system. Search on Bibsonomy ASPLOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF CMP, migration, SMT, heat, power density
23Venkata Krishnan, Josep Torrellas The Need for Fast Communication in Hardware-Based Speculative Chip Multiprocessors. Search on Bibsonomy IEEE PACT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF register communication, Chip-multiprocessor, speculative multithreading, data-dependence speculation
19Mohammad Hashem Haghbayan, Antonio Miele, Onur Mutlu, Juha Plosila Run-Time Resource Management in CMPs Handling Multiple Aging Mechanisms. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Wangqian Ju, Heike Hofmann An Open-Source Implementation of the CMPS Algorithm for Assessing Similarity of Bullets. Search on Bibsonomy R J. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
19Michael Toth, Nataliia Bielova, Vincent Roca On dark patterns and manipulation of website publishers by CMPs. Search on Bibsonomy Proc. Priv. Enhancing Technol. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
19Ahmad Siavashi, Mahmoud Momtazpour Yield-aware joint die packing, die matching and static thread mapping for hard real-time 3D embedded CMPs. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
19Hemanta Kumar Mondal, Sarnava Konar, Poulomi Hore, Ramapati Patra, Pradipta Sarkar, Sujay Deb Interconnect support for energy efficient and high bandwidth memory access in CMPs. Search on Bibsonomy Sustain. Comput. Informatics Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
19Jian Wang 0024, Zhe Chen, Shize Guo, Yubai Li, Zhonghai Lu Optimal Sprinting Pattern in Thermal Constrained CMPs. Search on Bibsonomy IEEE Trans. Emerg. Top. Comput. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
19Solomon Abera, M. Balakrishnan, Anshul Kumar Performance-Energy Trade-off in Modern CMPs. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
19Tadej Petric Phase-Synchronized Learning of Periodic Compliant Movement Primitives (P-CMPs). Search on Bibsonomy Frontiers Neurorobotics The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
19Arghavan Asad, Furat Al-Obaidy, Farah Mohammadi 0001 Efficient Power Consumption using Hybrid Emerging Memory Technology for 3D CMPs. Search on Bibsonomy LASCAS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
19Theodoros Marinakis, Iraklis Anagnostopoulos Performance and Fairness Improvement on CMPs Considering Bandwidth and Cache Utilization. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
19Shize Guo, Jian Wang 0024, Zhe Chen, Zhonghai Lu, Jinhong Guo, Lian Yang Security-Aware Task Mapping Reducing Thermal Side Channel Leakage in CMPs. Search on Bibsonomy IEEE Trans. Ind. Informatics The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
19Alexandra Ferrerón-Labari, Jesús Alastruey-Benedé, Darío Suárez Gracia, Teresa Monreal Arnal, Pablo Ibáñez-Marín, Víctor Viñals Yúfera A fault-tolerant last level cache for CMPs operating at ultra-low voltage. Search on Bibsonomy J. Parallel Distributed Comput. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
19Subodha Charles, Alif Ahmed, Ümit Y. Ogras, Prabhat Mishra 0001 Efficient Cache Reconfiguration Using Machine Learning in NoC-Based Many-Core CMPs. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
19Pratik Gajane, Ronald Ortner, Peter Auer, Csaba Szepesvári Autonomous exploration for navigating in non-stationary CMPs. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
19Marco Pranzo, Somnath Mazumdar An analytical model for thread-core mapping for tiled CMPs. Search on Bibsonomy Perform. Evaluation The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
19Suvadip Hazra, Mamata Dalui Cellular Automata Based Solution for Detecting Hardware Trojan in CMPs. Search on Bibsonomy ICITAM The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
19Jeckson Dellagostin Souza, Antonio Carlos Schneider Beck Filho Trimming the ISA to Optimize Area and EDP in Heterogeneous CMPs. Search on Bibsonomy SBAC-PAD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
19Mohaddeseh Hoveida, Fatemeh Aghaaliakbari, Majid Jalili 0001, Ramin Bashizade, Mohammad Arjomand, Hamid Sarbazi-Azad Chapter Two - Revisiting Processor Allocation and Application Mapping in Future CMPs in Dark Silicon Era. Search on Bibsonomy Adv. Comput. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
19Amin Jadidi, Mohammad Arjomand, Mahmut T. Kandemir, Chita R. Das Performance and Power-Efficient Design of Dense Non-Volatile Cache in CMPs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
19José L. Abellán, Eduardo Padierna, Alberto Ros 0001, Manuel E. Acacio Photonic-based express coherence notifications for many-core CMPs. Search on Bibsonomy J. Parallel Distributed Comput. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
19Sina Shahhosseini, Kasra Moazzemi, Amir M. Rahmani, Nikil D. Dutt On the feasibility of SISO control-theoretic DVFS for power capping in CMPs. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
19Bidesh Chakraborty, Mamata Dalui, Biplab K. Sikdar Design of a Reliable Cache System for Heterogeneous CMPs. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
19Mohammadreza Soltaniyeh, Ismail Kadayif, Ozcan Ozturk 0001 Classifying Data Blocks at Subpage Granularity With an On-Chip Page Table to Improve Coherence in Tiled CMPs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
19Paolo Grani, Sandro Bartolini Scalable Path-Setup Scheme for All-Optical Dynamic Circuit Switched NoCs in Cache Coherent CMPs. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
19Gabriele Mencagli, Marco Vanneschi, Silvia Lametti The home-forwarding mechanism to reduce the cache coherence overhead in next-generation CMPs. Search on Bibsonomy Future Gener. Comput. Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
19Subodha Charles, Chetan Arvind Patil, Ümit Y. Ogras, Prabhat Mishra 0001 Exploration of Memory and Cluster Modes in Directory-Based Many-Core CMPs. Search on Bibsonomy NOCS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
19Bryan Donyanavard, Amir M. Rahmani, Tiago Mück, Kasra Moazemmi, Nikil D. Dutt Gain scheduled control for nonlinear power management in CMPs. Search on Bibsonomy DATE The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
19Solomon Abera, M. Balakrishnan, Anshul Kumar Performance-Energy Trade-off in CMPs with Per-Core DVFS. Search on Bibsonomy ARCS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
19Woo-Cheol Kwon Co-design of on-chip caches and networks for scalable shared-memory many-core CMPs. Search on Bibsonomy 2018   RDF
19Shirshendu Das, Hemangee K. Kapoor Dynamic Associativity Management in Tiled CMPs by Runtime Adaptation of Fellow Sets. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
19Albert Esteve, Alberto Ros 0001, María Engracia Gómez, Antonio Robles, José Duato TLB-Based Temporality-Aware Classification in CMPs with Multilevel TLBs. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
19Shounak Chakraborty 0001, Hemangee K. Kapoor Performance linked dynamic cache tuning: A static energy reduction approach in tiled CMPs. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
19Fengkai Yuan, Zhenzhou Ji, Zhongchuan Fu RACMan: Replication-aware cache management for manycore CMPs with private LLCs. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
19Mamata Dalui, Biplab K. Sikdar A cellular automata based self-correcting protocol processor for scalable CMPs. Search on Bibsonomy Microelectron. J. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
19Baisakhi Das, Biplab K. Sikdar Evaluating impact on CMPs' power for design inaccuracy diagnosis. Search on Bibsonomy Int. J. Comput. Appl. Technol. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
19Pooneh Safayenikoo, Arghavan Asad, Mahmood Fathy, Farah Mohammadi 0001 Exploiting non-uniformity of write accesses for designing a high-endurance hybrid Last Level Cache in 3D CMPs. Search on Bibsonomy CCECE The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
19Bidesh Chakraborty, Mamata Dalui, Biplab K. Sikdar Design of Coherence Verification Unit for Heterogeneous CMPs Integrating Update and Invalidate Protocols. Search on Bibsonomy VLSID The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
19Hadi Asgharimoghaddam, Nam Sung Kim SpinWise: A Practical Energy-Efficient Synchronization Technique for CMPs. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
19Mamata Dalui, Biplab K. Sikdar A Cache System Design for CMPs with Built-In Coherence Verification. Search on Bibsonomy VLSI Design The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
19Martí Torrents, Raúl Martínez, Carlos Molina Facing prefetching challenges in distributed shared memories for CMPs. Search on Bibsonomy J. Supercomput. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
19Xiaofei Liao, Rentong Guo, Danping Yu, Hai Jin 0001, Li Lin 0001 A Phase Behavior Aware Dynamic Cache Partitioning Scheme for CMPs. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
19Marta Ortín-Obón, Darío Suárez Gracia, María Villarroya-Gaudó, Cruz Izu, Víctor Viñals Reactive circuits: Dynamic construction of circuits for reactive traffic in homogeneous CMPs. Search on Bibsonomy J. Parallel Distributed Comput. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
19Zhonghai Lu, Yuan Yao 0009 Aggregate Flow-Based Performance Fairness in CMPs. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
19Shounak Chakraborty 0001, Shirshendu Das, Hemangee K. Kapoor Static energy efficient cache reconfiguration for dynamic NUCA in tiled CMPs. Search on Bibsonomy SAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
19Shirshendu Das, Hemangee K. Kapoor Dynamic associativity enabled DNUCA to improve block localisation in tiled CMPs. Search on Bibsonomy SAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
19Nasibeh Teimouri, Hamed Tabkhi, Gunar Schirner Improving scalability of CMPs with dense ACCs coverage. Search on Bibsonomy DATE The full citation details ... 2016 DBLP  BibTeX  RDF
19Yuan Yao 0009, Zhonghai Lu Memory-access aware DVFS for network-on-chip in CMPs. Search on Bibsonomy DATE The full citation details ... 2016 DBLP  BibTeX  RDF
19Bidesh Chakraborty, Mamata Dalui, Biplab K. Sikdar CA based protocol processor for heterogeneous CMPs. Search on Bibsonomy ISED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
19Mamata Dalui, Tannishtha Som, Shivani Bansal, Shivam Pant, Biplab K. Sikdar MASI: An eviction aware cache coherence protocol for CMPs. Search on Bibsonomy ISED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
19Baisakhi Das, Supreeti Kamilya, Biplab K. Sikdar Design of CA based scheme for evenhanded data migration in CMPs. Search on Bibsonomy ISED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
19Yuan Yao 0009, Zhonghai Lu DVFS for NoCs in CMPs: A thread voting approach. Search on Bibsonomy HPCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
19Rajiv Nishtala, Xavier Martorell RePP-C: Runtime estimation of performance-power with workload consolidation in CMPs. Search on Bibsonomy IGSC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
19Masayuki Sato 0001, Shin Nishimura, Ryusuke Egawa, Hiroyuki Takizawa, Hiroaki Kobayashi A cache partitioning mechanism to protect shared data for CMPs. Search on Bibsonomy COOL Chips The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
19Alberto Scionti, Somnath Mazumdar, Antoni Portero Software defined Network-on-Chip for scalable CMPs. Search on Bibsonomy HPCS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
19Yuan Yao 0009, Zhonghai Lu Opportunistic Competition Overhead Reduction for Expediting Critical Section in NoC Based CMPs. Search on Bibsonomy ISCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
19Bidesh Chakraborty, Mamata Dalui, Biplab K. Sikdar Design of coherence verification unit for CMPs realizing dragon protocol. Search on Bibsonomy VDAT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
19Joan J. Valls, Alberto Ros 0001, Julio Sahuquillo, María Engracia Gómez PS directory: a scalable multilevel directory cache for CMPs. Search on Bibsonomy J. Supercomput. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
19Prasanna Venkatesh Rengasamy, Anand Sivasubramaniam, Mahmut T. Kandemir, Chita R. Das Exploiting Staleness for Approximating Loads on CMPs. Search on Bibsonomy PACT The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
19Hemangee K. Kapoor, Shirshendu Das, Shounak Chakraborty 0001 Static energy reduction by performance linked cache capacity management in tiled CMPs. Search on Bibsonomy SAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
19Yi-Jung Chen, Chia-Lin Yang, Ping-Sheng Lin, Yi-Chang Lu Thermal/performance characterization of CMPs with 3D-stacked DRAMs under synergistic voltage-frequency control of cores and DRAMs. Search on Bibsonomy RACS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
19Rajiv Nishtala, Marc González Tallada, Xavier Martorell A Methodology to Build Models and Predict Performance-Power in CMPs. Search on Bibsonomy ICPP Workshops The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
19Martí Torrents, Raúl Martínez, Carlos Molina Prefetching Challenges in Distributed Memories for CMPs. Search on Bibsonomy ICCS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
19Alirad Malek, Stavros Tzilis, Danish Anis Khan, Ioannis Sourdis, Georgios Smaragdos, Christos Strydis Reducing the performance overhead of resilient CMPs with substitutable resources. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
19Nikola Markovic, Daniel Nemirovsky, Osman S. Unsal, Mateo Valero, Adrián Cristal Performance and Energy Efficient Hardware-Based Scheduler for Symmetric/Asymmetric CMPs. Search on Bibsonomy SBAC-PAD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
19Shirshendu Das, Hemangee K. Kapoor Exploration of Migration and Replacement Policies for Dynamic NUCA over Tiled CMPs. Search on Bibsonomy VLSID The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
19Saha Mousumi, Navneet Kumar Gautam, Biplab K. Sikdar A fault tolerant test hardware for L1 cache module in tile CMPs architecture. Search on Bibsonomy VDAT The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
19Bidesh Chakraborty, Bhanu Pratap Singh, M. Chinnapureddy, Mamata Dalui, Biplab K. Sikdar Design of coherence verification unit for heterogeneous CMPs. Search on Bibsonomy VDAT The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
19Nasibeh Teimouri, Hamed Tabkhi, Gunar Schirner Revisiting accelerator-rich CMPs: challenges and solutions. Search on Bibsonomy DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
19Christopher Callum Thompson On the simulation and design of manycore CMPs. Search on Bibsonomy 2015   RDF
19Nikola Markovic Hardware thread scheduling algorithms for single-ISA asymmetric CMPs. Search on Bibsonomy 2015   RDF
19Josué Feliu, Salvador Petit, Julio Sahuquillo, José Duato Cache-Hierarchy Contention-Aware Scheduling in CMPs. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Weiwei Fu, Li Liu 0006, Tianzhou Chen Direct distributed memory access for CMPs. Search on Bibsonomy J. Parallel Distributed Comput. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Da Cheng, Sandeep K. Gupta 0001 Maximizing Yield per Area of Highly Parallel CMPs Using Hardware Redundancy. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Jason Cong, Mohammad Ali Ghodrat, Michael Gill, Beayna Grigorian, Glenn Reinman Architecture Support for Domain-Specific Accelerator-Rich CMPs. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Martí Torrents, Raúl Martínez, Carlos Molina Network aware performance evaluation of prefetching techniques in CMPs. Search on Bibsonomy Simul. Model. Pract. Theory The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Biswabandan Panda, Shankar Balachandran XStream: cross-core spatial streaming based MLC prefetchers for parallel applications in CMPs. Search on Bibsonomy PACT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Alexandros-Herodotos Haritatos, Georgios I. Goumas, Nikos Anastopoulos, Konstantinos Nikas, Kornilios Kourtis, Nectarios Koziris LCA: a memory link and cache-aware co-scheduling approach for CMPs. Search on Bibsonomy PACT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Weiwei Fu, Mingmin Yuan, Tianzhou Chen, Li Liu 0006 Agent-Based Memory Access for Many-Core CMPs. Search on Bibsonomy ISPDC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 469 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license