The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for configurability with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1983-1998 (18) 1999-2000 (31) 2001-2002 (20) 2003 (20) 2004 (24) 2005 (35) 2006 (33) 2007 (28) 2008 (27) 2009 (15) 2010-2011 (17) 2012-2014 (15) 2015-2017 (15) 2018-2022 (17) 2023-2024 (6)
Publication types (Num. hits)
article(57) book(1) incollection(1) inproceedings(261) phdthesis(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 325 occurrences of 247 keywords

Results
Found 321 publication records. Showing 321 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
23Liliana Ardissono, Anna Goy, Giovanna Petrone, Marino Segnan Configurability within a multi-agent Web store shell. Search on Bibsonomy Agents The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
23Hugo Miranda, Luís E. T. Rodrigues Balancing configurability and efficiency in network support tools. Search on Bibsonomy ACM SIGOPS European Workshop The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
23Brett Schein, Eytan H. Modiano Quantifying the Benefit of Configurability in Circuit-Switched WDM Ring Networks. Search on Bibsonomy INFOCOM The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
23Yigal Hoffner Static and dynamic topological re-configurability in multi-microcomputer systems. Search on Bibsonomy SIGSMALL The full citation details ... 1983 DBLP  DOI  BibTeX  RDF
23MinSeong Kim, Andy J. Wellings Using the executor framework to implement asynchronous event handling in the RTSJ. Search on Bibsonomy JTRES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
23Yizhen Liu, Daxiong Xu, Dong Liu, Lingge Sun A Fast and Configurable Pattern Matching Hardware Architecture for Intrusion Detection. Search on Bibsonomy WKDD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
23Carlos A. Flores-Cortés, Gordon S. Blair, Paul Grace A multi-protocol framework for ad-hoc service discovery. Search on Bibsonomy MPAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF mobile computing, MANET, middleware, service discovery, ad-hoc
23Charles Zhang, Hans-Arno Jacobsen Refactoring Middleware with Aspects. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF aspect analysis, middleware, Aspect-oriented programming, refactoring, aspects
23Kevin E. Dorow Flexible Fault Tolerance in Configurable Middleware for Embedded Systems. Search on Bibsonomy COMPSAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23Charles Zhang, Hans-Arno Jacobsen Quantifying aspects in middleware platforms. Search on Bibsonomy AOSD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF evaluation and metrics, distributed systems, software architecture, middleware, aspect oriented programming, CORBA, aspect mining
23Geoff Coulson, Gordon S. Blair, Michael Clarke, Nikos Parlavantzas The design of a configurable and reconfigurable middleware platform. Search on Bibsonomy Distributed Comput. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Component technology reflection, Middleware, Reconfiguration
23Chuanjun Zhang, Frank Vahid A power-configurable bus for embedded systems. Search on Bibsonomy ISCAS (5) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
23Gordon S. Blair, Lynne Blair, Valérie Issarny, Petr Tuma 0001, Apostolos V. Zarras The Role of Software Architecture in Constraining Adaptation in Component-Based Middleware Platforms. Search on Bibsonomy Middleware The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
22Jussi Kiljander, Janne Takalo-Mattila, Matti Eteläperä, Juha-Pekka Soininen, Kari Keinänen Enabling End-Users to Configure Smart Environments. Search on Bibsonomy SAINT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF End-user configurability, Smart-M3, Ontologies, Semantic Web, Smart Environment
22Jörg Kienzle, Ekwa Duala-Ekoko, Samuel Gélineau AspectOptima: A Case Study on Aspect Dependencies and Interactions. Search on Bibsonomy T. Aspect-Oriented Software Development The full citation details ... 2009 DBLP  DOI  BibTeX  RDF aspect dependencies, aspect collaboration, aspect-oriented language features, aspect binding, inter-aspect ordering, inter-aspect configurability, per-object aspects, reusability, dynamic aspects, aspect interference
22Jongmin Lee, Eujoon Byun, Hanmook Park, Jongmoo Choi, Donghee Lee 0001, Sam H. Noh CPS-SIM: configurable and accurate clock precision solid state drive simulator. Search on Bibsonomy SAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF SSD (solid state drive), clock precision SSD simulator, configurability, NAND flash memory, FTL (flash translation layer)
22Teijiro Isokawa, Shin'ya Kowada, Yousuke Takada, Ferdinand Peper, Naotake Kamiura, Nobuyuki Matsui Defect-Tolerance in Cellular Nanocomputers. Search on Bibsonomy New Gener. Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Cellular Automata, Configurability, Asynchronous, Nanotechnology, Defect Tolerance
22Alex Wun, Hans-Arno Jacobsen A Policy Management Framework for Content-Based Publish/Subscribe Middleware. Search on Bibsonomy Middleware The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Security, Policy, Configurability, Publish/Subscribe
22Woo Hyong Lee, Jung Han Kim H.264 Implementation with Embedded Reconfigurable Architecture. Search on Bibsonomy CIT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Parallelized Processing, Configurability, H.264, Reconfigurable Array
22Anand Ramachandran, Margarida F. Jacome Xtream-Fit: an energy-delay efficient data memory subsystem for embedded media processing. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF streaming memory, low power, configurability, design space exploration, media processing, scratch-pad, energy delay product
22Steffen Thiel, Andreas Hein 0004 Modeling and Using Product Line Variability in Automotive Systems. Search on Bibsonomy IEEE Softw. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Product line development, economies of scope, configurability, variability, feature model, architecture design, automotive systems, product derivation
22Claude Thibeault, Guy Bégin A Scan-Based Configurable, Programmable and Scalable Architecture for Sliding Window-Based Operations. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF scalability, Architecture, digital signal processing, configurability, testability, programmability
22Stuart M. Wheater, Mark C. Little The design and implementation of a framework for configurable software. Search on Bibsonomy CDS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF object-oriented, modularity, configurability, extensibility
22Woei Lin, Chuan-lin Wu A Fault-Tolerant Mapping Scheme for a Configurable Multiprocessor System. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1989 DBLP  DOI  BibTeX  RDF fault-tolerant mapping scheme, configurable multiprocessor system, interprocessor connections, linear address space, parallel computation, fault tolerant computing, multiprocessor interconnection networks, configurability, multistage interconnection networks
12William Farr, Nicola Yuill, Eric Charles Harris, Steve Hinske In my own words: configuration of tangibles, object interaction and children with autism. Search on Bibsonomy IDC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF social interaction, configuration, tangibles, autism, object interaction
12Kevin Kratzer, Axel Böttcher Using an RTSJ-compatible MVC pattern as basis for configurable event-driven real-time software. Search on Bibsonomy JTRES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF real-time design patterns, real-time programming idioms, real-time Java, RTSJ, configurable software
12Alexander D. Rast, Xin Jin 0003, Francesco Galluppi, Luis A. Plana, Cameron Patterson, Stephen B. Furber Scalable event-driven native parallel processing: the SpiNNaker neuromimetic system. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF universal neural processor, asynchronous, event-driven
12Demid Borodin, Ben H. H. Juurlink Protective redundancy overhead reduction using instruction vulnerability factor. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF instruction vulnerability, selective protection, performance, redundancy, fault detection
12Matthias Urban, Daniel Lohmann, Olaf Spinczyk The aspect-oriented design of the PUMA C/C++ parser framework. Search on Bibsonomy AOSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF aspect-oriented programming (AOP), AspectC++, aspect-oriented design, PUMA
12Tom Kiemes, Daniel Oberle, Francesco Novelli Towards a reusable and executable pricing model in the internet of services. Search on Bibsonomy iiWAS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
12Fen Hou, Pin-Han Ho, Xuemin (Sherman) Shen An efficient delay constrained scheduling scheme for IEEE 802.16 networks. Search on Bibsonomy Wirel. Networks The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Preference metric, Scheduling, IEEE 802.16, Proportional fairness
12Christer Thörn, Kurt Sandkuhl Feature Modeling: Managing Variability in Complex Systems. Search on Bibsonomy Complex Systems in Knowledge-based Environments The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Feature modeling, variability modeling
12Hyunchul Park 0001, Yongjun Park 0001, Scott A. Mahlke Polymorphic pipeline array: a flexible multicore accelerator with virtualized execution for mobile multimedia applications. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF programmable accelerator, virtualization, software pipelining
12Concepción Sanz, Manuel Prieto 0001, José Ignacio Gómez, Antonis Papanikolaou, Francky Catthoor System-level process variability compensation on memory organizations: on the scalability of multi-mode memories. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Alexandros Papakonstantinou, Karthik Gururaj, John A. Stratton, Deming Chen, Jason Cong, Wen-mei W. Hwu High-performance CUDA kernel execution on FPGAs. Search on Bibsonomy ICS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF cuda programming model, fpga, high level synthesis, high performance computing, gpu, coarse grained parallelism
12Michele Amoretti, Matteo Agosti, Francesco Zanichelli DEUS: a discrete event universal simulator. Search on Bibsonomy SimuTools The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Elmar Jürgens, Florian Deissenboeck, Benjamin Hummel CloneDetective - A workbench for clone detection research. Search on Bibsonomy ICSE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Gareth Tyson, Paul Grace, Andreas Mauthe, Gordon S. Blair, Sebastian Kaune A Reflective Middleware to Support Peer-to-Peer Overlay Adaptation. Search on Bibsonomy DAIS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Adaptation, peer-to-peer, reflective middleware
12Jingzhao Ou, Viktor K. Prasanna A Cooperative Management Scheme for Power Efficient Implementations of Real-Time Operating Systems on Soft Processors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Peter R. Cappello Application-specific Processor Architecture: Then and Now. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF field-programmable gate array, FPGA, computer architecture, taxonomy, systolic array, processor array, application-specific processor, general-purpose processor
12C. Sampath Kannangara, Iain E. Garden Richardson, Maja Bystrom, Manuel de-Frutos-López Fast, dynamic configuration of transforms for video coding. Search on Bibsonomy ICIP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Mikkel Bystrup Stensgaard, Jens Sparsø ReNoC: A Network-on-Chip Architecture with Reconfigurable Topology. Search on Bibsonomy NOCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Communication, System-on-Chip, Network-on-Chip, Reconfigurable, Application-specific
12Amogh Kavimandan, Anantha Narayanan, Aniruddha S. Gokhale, Gabor Karsai Evaluating the Correctness and Effectiveness of a Middleware QoS Configuration Process in Distributed Real-Time and Embedded Systems. Search on Bibsonomy ISORC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Middleware QoS Configuration, Configuration Evaluation, Model Transformation
12Giulio Piancastelli, Alex Benini, Andrea Omicini, Alessandro Ricci The architecture and design of a malleable object-oriented prolog engine. Search on Bibsonomy SAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF prolog, finite state machine, object-oriented design
12Tansu Alpcan, Christian Bauckhage A discrete-time parallel update algorithm for distributed learning. Search on Bibsonomy ICPR The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12José Luis Núñez-Yáñez, Eddie Hung, Vassilios A. Chouliaras A configurable and programmable motion estimation processor for the H.264 video codec. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12M.-C. Frank Chang, Eran Socher, Sai-Wang Tam, Jason Cong, Glenn Reinman RF interconnects for communications on-chip. Search on Bibsonomy ISPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF RF-interconnect, network-on-chip, chip multiprocessors
12Nikom Suvonvorn A video analysis framework for surveillance system. Search on Bibsonomy MMSP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Isabell Jahnich, Ina Podolski, Achim Rettberg Towards a Middleware Approach for a Self-configurable Automotive Embedded System. Search on Bibsonomy SEUS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12MinSeong Kim, Andy J. Wellings An efficient and predictable implementation of asynchronous event handling in the RTSJ. Search on Bibsonomy JTRES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Francesco Amigoni, Fabrizio Basilico, Nicola Basilico, Stefano Zanero Integrating Partial Models of Network Normality via Cooperative Negotiation: An Approach to Development of Multiagent Intrusion Detection Systems. Search on Bibsonomy IAT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Vladimir Gorodetsky, Oleg Karsaev, Vladimir Samoilov, Sergey Serebryakov Interaction of Agents and Data Mining in Ubiquitous Environment. Search on Bibsonomy Web Intelligence/IAT Workshops The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Luo Sun, Peng Dai, Linmi Tao, Guangyou Xu A Generic Context Information System for Intelligent Vision Applications. Search on Bibsonomy ICCS (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Context Information System, Context Storing and Context Retrieval, Context Representation
12Bartosz Kowalewski, Marian Bubak, Bartosz Balis An Event-Based Approach to Reducing Coupling in Large-Scale Applications. Search on Bibsonomy ICCS (3) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Large-scale computing, event infrastructure, Web services, distributed computing, publish/subscribe, decoupling, WS-Notification
12Olivier Lobry, Juraj Polakovic Controlling the Performance Overhead of Component-Based Systems. Search on Bibsonomy SC@ETAPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12You-Ming Tsao, Ka-Hang Lok, Yu-Cheng Lin, Chih-Hao Sun, Shao-Yi Chien, Liang-Gee Chen A cost effective reconfigurable memory for multimedia multithreading streaming architecture. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Michele Paselli, Frederik Petré, Olivier Rousseaux, Guy Meynants, Bert Gyselinckx, Marc Engels, Luca Benini A High-Performance Wireless Sensor Node for Industrial Control Applications. Search on Bibsonomy ICONS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF WiMedia, Mechatronic, Wireless Sensor Node, Industrial control
12Ann Gordon-Ross, Jeremy Lau, Brad Calder Phase-based cache reconfiguration for a highly-configurable two-level cache hierarchy. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF cache tuning, phase prediction, phase-based reconfiguration, phase-based tuning, caches, configurable caches, configurable architecture
12Rajiv Ramdhany, Geoff Coulson Manetkit: A Framework for MANET Routing Protocols. Search on Bibsonomy ICDCS Workshops The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Paul Grace, Gordon S. Blair, Carlos A. Flores-Cortés, Nelly Bencomo Engineering complex adaptations in highly heterogeneous distributed systems. Search on Bibsonomy Autonomics The full citation details ... 2008 DBLP  DOI  BibTeX  RDF adaptation, middleware, reflection, heterogeneity
12Lakshmi Santhanam, Bin Xie 0001, Dharma P. Agrawal Secure and efficient authentication in Wireless Mesh Networks using merkle trees. Search on Bibsonomy LCN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12He Yuan Huang, Hehui Liu, Zhong Jie Li, Jun Zhu Surrogate: A Simulation Apparatus for Continuous Integration Testing in Service Oriented Architecture. Search on Bibsonomy IEEE SCC (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Continuous integration testing, service oriented archi-tecture, simulation
12Dominique Chanet, Bjorn De Sutter, Bruno De Bus, Ludo Van Put, Koen De Bosschere Automated reduction of the memory footprint of the Linux kernel. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF operating system, compression, compaction, specialization, system calls, Linux kernel
12Nicolas Villar, Kiel Mark Gilleade, Devina Ramduny-Ellis, Hans Gellersen The VoodooIO gaming kit: a real-time adaptable gaming controller. Search on Bibsonomy Comput. Entertain. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF VoodooIO, appropriable gaming devices, adaptable interfaces, game controllers
12Tammo van Lessen, Jörg Nitzsche, Marin Dimitrov, Mihail Konstantinov, Dimka Karastoyanova, Luchesar Cekov, Frank Leymann An Execution Engine for Semantic Business Processes. Search on Bibsonomy ICSOC Workshops The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12N. Pete Sedcole, Peter Y. K. Cheung Parametric yield in FPGAs due to within-die delay variations: a quantitative analysis. Search on Bibsonomy FPGA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF statistical theory, within-die variability, modelling, FPGA, delay, reconfiguration, process variation, yield
12Anupam Chattopadhyay, W. Ahmed, Kingshuk Karuri, David Kammler, Rainer Leupers, Gerd Ascheid, Heinrich Meyr Design space exploration of partially re-configurable embedded processors. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Ananda Challaghatta Muniyappa Improved Availability and Reliability Using Re-configuration Algorithm for Task or Process in a Flight Critical Software. Search on Bibsonomy SAFECOMP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12David Goodwin, Chris Rowen, Grant Martin Configurable Multi-Processor Platforms for Next Generation Embedded Systems. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Ali Ahmadinia, Balal Ahmad, Ahmet T. Erdogan, Tughrul Arslan System-level Modelling and Analysis of Embedded Reconfigurable Cores for Wireless Systems. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Bradley R. Quinton, Steven J. E. Wilton Embedded Programmable Logic Core Enhancements for System Bus Interfaces. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Domingo Benitez, Juan C. Moure, Dolores Rexachs, Emilio Luque Adaptive L2 Cache for Chip Multiprocessors. Search on Bibsonomy Euro-Par Workshops The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12José A. M. de Holanda, Jecel Assumpcao, Denis F. Wolf, Eduardo Marques, João M. P. Cardoso On Adapting Power Estimation Models for Embedded Soft-Core Processors. Search on Bibsonomy SIES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Kwei-Jay Lin, Mark Panahi, Yue Zhang 0001 The Design of an Intelligent Accountability Architecture. Search on Bibsonomy ICEBE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Itana Stiubiener, Wilson Vicente Ruggiero, Marta Costa Rosatelli An Approach to Personalisation in E-learning. Search on Bibsonomy ICALT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Jian-Hong Liu, Jing Chen, Chen-Yung Wang SWEVM: a software EVM for embedded system programming. Search on Bibsonomy ICPADS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Qixin Wang, Xue Liu 0001, Jennifer C. Hou, Lui Sha GD-Aggregate: A WAN Virtual Topology Building Tool for Hard Real-Time and Embedded Applications. Search on Bibsonomy RTSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Patrick Camilleri, Massimiliano Giulioni, Vittorio Dante, Giacomo Badoni, Giacomo Indiveri, Bernd Michaelis, Jochen Braun, Paolo Del Giudice A Neuromorphic aVLSI network chip with configurable plastic synapses. Search on Bibsonomy HIS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Jon Oldevik, Øystein Haugen Architectural Aspects in UML. Search on Bibsonomy MoDELS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Andres Upegui, Yann Thoma, Eduardo Sanchez, Andrés Pérez-Uribe, Juan Manuel Moreno, Jordi Madrenas The Perplexus bio-inspired reconfigurable circuit. Search on Bibsonomy AHS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Rahman Hassan, Antony Harris, Nigel P. Topham, Aristides Efthymiou Synthetic Trace-Driven Simulation of Cache Memory. Search on Bibsonomy AINA Workshops (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12C. J. Clark Tutorial IND2B: Structured Embedded Configuration and Test. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Seng Lin Shee, Sri Parameswaran Design Methodology for Pipelined Heterogeneous Multiprocessor System. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Cheng-Hung Lin, Yu-Tang Tai, Shih-Chieh Chang Optimization of pattern matching algorithm for memory based architecture. Search on Bibsonomy ANCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF intrusion detection, pattern matching, DFA
12Aleksandra Tesanovic, Mehdi Amirijoo, Jörgen Hansson Providing Configurable QoS Management in Real-Time Systems with QoS Aspect Packages. Search on Bibsonomy T. Aspect-Oriented Software Development The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Wieland Schwinger, Christoph Grün, Birgit Pröll, Werner Retschitzegger, Hannes Werthner Pinpointing Tourism Information onto Mobile Maps - A Light-Weight Approach. Search on Bibsonomy ENTER The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Krishna Sekar, Kanishka Lahiri, Anand Raghunathan, Sujit Dey Integrated data relocation and bus reconfiguration for adaptive system-on-chip platforms. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Daisuke Maruyama, Incheon Paik, Mitsuteru Shinozawa A Flexible and Dynamic CSP Solver for Web Service Composition in the Semantic Web Environment. Search on Bibsonomy CIT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Kyungkoo Jun, Seokhoon Kang, Byoungjo Choi DiffServ-Aware MPLS Scheme to Support Policy-Based End-to-End QoS Provision in Beyond 3G Networks. Search on Bibsonomy HPCC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12K. Sakthivel, R. Venkatraghavan, S. Shivashankar, R. Srivatsan, T. Srinivasan 0001 Independent navigation and functioning of intelligent agents by social interaction. Search on Bibsonomy CIMCA/IAWTIC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Carsten Bieser, Martin Bahlinger, Matthias Heinz, Christian Stops, Klaus D. Müller-Glaser A Novel Partial Bitstream Merging Methodology Accelerating Xilinx Virtex-II FPGA Based RP System Setup. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Rong Liu, Akhil Kumar 0001, Alan J. Stenger Simulation results for supply chain configurations based on information sharing. Search on Bibsonomy WSC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Andres Upegui, Eduardo Sanchez On-chip and on-line self-reconfigurable adaptable platform: the non-uniform cellular automata case. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Vander Alves, Rohit Gheyi, Tiago Massoni, Uirá Kulesza, Paulo Borba, Carlos José Pereira de Lucena Refactoring product lines. Search on Bibsonomy GPCE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF refactoring, software product lines, feature model
12Wei Liu 0011, Wei Du 0001 A Service Driven Coordination Model in Virtual Enterprise. Search on Bibsonomy CSCWD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Hsin-hung Lin, Chih-wen Hsueh COS: A Configurable OS for Embedded SoC Systems. Search on Bibsonomy RTCSA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Configurable OS, Embedded OS, Service-Oriented Architecture, SoC
12Zhiwen Yu 0001, Xingshe Zhou 0001, Changde Li, Shoji Kajita, Kenji Mase UPmP: A Component-Based Configurable Software Platform for Ubiquitous Personalized Multimedia Services. Search on Bibsonomy UIC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Nicolas Villar, Kiel Mark Gilleade, Devina Ramduny-Ellis, Hans-Werner Gellersen The VoodooIO gaming kit: a real-time adaptable gaming controller. Search on Bibsonomy Advances in Computer Entertainment Technology The full citation details ... 2006 DBLP  DOI  BibTeX  RDF VoodooIO, appropriable gaming devices, adaptable interfaces, game controllers
12Nicolas Villar, Kiel Mark Gilleade, Devina Ramduny-Ellis, Hans-Werner Gellersen The VoodooIO gaming kit: a real-time adaptable gaming controller. Search on Bibsonomy Advances in Computer Entertainment Technology The full citation details ... 2006 DBLP  DOI  BibTeX  RDF VoodooIO, appropriable gaming devices, adaptable interfaces, game controllers
12Carsten Bieser, Klaus D. Müller-Glaser Rapid Prototyping Design Acceleration Using a Novel Merging Methodology for Partial Configuration Streams of Xilinx Virtex-II FPGAs. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 321 (100 per page; Change: )
Pages: [<<][1][2][3][4][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license