The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for netlists with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1986-1992 (15) 1994-1997 (19) 1998-1999 (17) 2000-2001 (22) 2002-2003 (24) 2004-2005 (24) 2006 (18) 2007-2008 (22) 2009-2013 (16) 2014-2019 (15) 2020-2022 (15) 2023-2024 (10)
Publication types (Num. hits)
article(64) incollection(1) inproceedings(151) phdthesis(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 191 occurrences of 150 keywords

Results
Found 217 publication records. Showing 217 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
13Kai-Hui Chang, Igor L. Markov, Valeria Bertacco Fixing Design Errors With Counterexamples and Resynthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Stephen Jang, Billy Chan, Kevin Chung, Alan Mishchenko WireMap: FPGA technology mapping for improved routability. Search on Bibsonomy FPGA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF area flow, cut enumeration, edge flow, FPGA, technology mapping
13David J. Greaves, Satnam Singh Kiwi: Synthesis of FPGA Circuits from Parallel Programs. Search on Bibsonomy FCCM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Azam Beg, P. W. Chandana Prasad, Walid Ibrahim, Emad Abu Shama Utilizing synthesis to verify Boolean function models. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Sylvain Guilley, Sumanta Chaudhuri, Jean-Luc Danger, Laurent Sauvage, Philippe Hoogvorst, Maxime Nassar, Tarik Graba, Vinh-Nga Vong Place-and-Route Impact on the Security of DPL Designs in FPGAs. Search on Bibsonomy HOST The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Jason Baumgartner, Hari Mony, Adnan Aziz Optimal Constraint-Preserving Netlist Simplification. Search on Bibsonomy FMCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Alan Mishchenko, Satrajit Chatterjee, Robert K. Brayton Improvements to Technology Mapping for LUT-Based FPGAs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Shiyan Hu, Charles J. Alpert, Jiang Hu, Shrirang K. Karandikar, Zhuo Li 0001, Weiping Shi, Chin Ngai Sze Fast Algorithms for Slew-Constrained Minimum Cost Buffering. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Stephen Plaza, Kai-Hui Chang, Igor L. Markov, Valeria Bertacco Node Mergers in the Presence of Don't Cares. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Kai-Hui Chang, Igor L. Markov, Valeria Bertacco Fixing Design Errors with Counterexamples and Resynthesis. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF simulation-based verification, digital design errors, error-correction framework, resynthesis techniques, goal-directed search, entropy-guided search, counterexamples, digital designs, combinational equivalence-checking
13Shiyan Hu, Jiang Hu Pattern sensitive placement for manufacturability. Search on Bibsonomy ISPD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF placement, physical design, manufacturability
13Rainer Scholz Adapting and Automating XILINX's Partial Reconfiguration Flow for Multiple Module Implementations. Search on Bibsonomy ARC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Chandramouli V. Kashyap, Chirayu S. Amin, Noel Menezes, Eli Chiprout A nonlinear cell macromodel for digital applications. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Zhanyuan Jiang, Shiyan Hu, Jiang Hu, Weiping Shi An Efficient Algorithm for RLC Buffer Insertion. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Christopher R. Clark, David E. Schimmel Modeling the data-dependent performance of pattern-matching architectures. Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF FPGA, pattern matching
13Alan Mishchenko, Satrajit Chatterjee, Robert K. Brayton Improvements to technology mapping for LUT-based FPGAs. Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF area recovery, cut enumeration, lossless synthesis, FPGA, technology mapping
13Gerd Vandersteen, Stephane Bronckers, Petr Dobrovolný, Yves Rolain Systematic stability-analysis method for analog circuits. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Pietro Babighian, Luca Benini, Alberto Macii, Enrico Macii Enabling fine-grain leakage management by voltage anchor insertion. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Aaron N. Ng, Igor L. Markov, Rajat Aggarwal, Venky Ramachandran Solving hard instances of floorplacement. Search on Bibsonomy ISPD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF floorplacement, benchmarks, placement, floorplanning, RTL, circuit layout
13Jarrod A. Roy, David A. Papa, Aaron N. Ng, Igor L. Markov Satisfying whitespace requirements in top-down placement. Search on Bibsonomy ISPD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF placement, physical design, floorplanning
13Evgeny Fiksman, Yitzhak Birk, Oskar Mencer ASC-Based Acceleration in an FPGA with a Processor Core Using Software-Only Skills. Search on Bibsonomy FCCM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Beth Isaksen, Valeria Bertacco Verification through the principle of least astonishment. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Zhanyuan Jiang, Shiyan Hu, Jiang Hu, Zhuo Li 0001, Weiping Shi A new RLC buffer insertion algorithm. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13André K. Nieuwland, Samir Jasarevic, Goran Jerin Combinational Logic Soft Error Analysis and Protection. Search on Bibsonomy IOLTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Lun Li, Alex Fit-Florea, Mitchell A. Thornton, David W. Matula Performance Evaluation of a Novel Direct Table Lookup Method and Architecture with Application to 16-bit Integer Functions. Search on Bibsonomy ASAP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Vyas Krishnan, Srinivas Katkoori Design Space Exploration of RTL Datapaths Using Rent Parameter based Stochastic Wirelength Estimation. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Robin J. Bruce, Richard Chamberlain, Malachy Devlin, Stephen Marshall Poster reception - Implementing algorithms on FPGAs using high-level languages and low-level libraries. Search on Bibsonomy SC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Shiyan Hu, Charles J. Alpert, Jiang Hu, Shrirang K. Karandikar, Zhuo Li 0001, Weiping Shi, Cliff C. N. Sze Fast algorithms for slew constrained minimum cost buffering. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF slew constraint, physical design, buffer insertion
13Yu Cao 0001, Xiaodong Yang, Xuejue Huang, Dennis Sylvester Switch-factor based loop RLC modeling for efficient timing analysis. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Danil Sokolov, Julian P. Murphy, Alexandre V. Bystrov, Alexandre Yakovlev Design and Analysis of Dual-Rail Circuits for Security Applications. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Alternating spacer protocol, dual-rail encoding, hazard-free design, cryptography, power analysis, design automation, hardware security
13Fei Li 0003, Yizhou Lin, Lei He 0001, Deming Chen, Jason Cong Power modeling and characteristics of field programmable gate arrays. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Qinghua Liu, Malgorzata Marek-Sadowska A study of netlist structure and placement efficiency. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Chirayu S. Amin, Masud H. Chowdhury, Yehea I. Ismail Realizable reduction of interconnect circuits including self and mutual inductances. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Song Peng, David Fang, John Teifel, Rajit Manohar Automated synthesis for asynchronous FPGAs. Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF asychronous circuits, programmable logic, automated synthesis
13Viresh Paruthi, Christian Jacobi 0002, Kai Weber 0001 Efficient Symbolic Simulation via Dynamic Scheduling, Don't Caring, and Case Splitting. Search on Bibsonomy CHARME The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Rolf Drechsler, Görschwin Fey, Christian Genz, Daniel Große SyCE: An Integrated Environment for System Design in SystemC. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Sylvain Guilley, Philippe Hoogvorst, Yves Mathieu, Renaud Pacalet The "Backend Duplication" Method. Search on Bibsonomy CHES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF secured backend, differential signals, Information leakage
13Chirayu S. Amin, Yehea I. Ismail, Florentin Dartu Piece-wise approximations of RLCK circuit responses using moment matching. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF RC, RLCK circuits, interconnect timing analysis, moments, RLC
13Dominik Stoffel, Markus Wedler, Peter Warkentin, Wolfgang Kunz Structural FSM traversal. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
13Akshay Sharma, Katherine Compton, Carl Ebeling, Scott Hauck Exploration of pipelined FPGA interconnect structures. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF PipeRoute, pipelined FPGA, pipelined interconnect, registered routing, architecture explorations
13Lutz Näthke, Volodymyr Burkhay, Lars Hedrich, Erich Barke Hierarchical Automatic Behavioral Model Generation of Nonlinear Analog Circuits Based on Nonlinear Symbolic Techniques. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
13Pierluigi Daglio, David Iezzi, Danilo Rimondi, Carlo Roma, Salvatore Santapa Building the Hierarchy from a Flat Netlist for a Fast and Accurate Post-Layout Simulation with Parasitic Components. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
13Ivan Blunno, Jordi Cortadella, Alex Kondratyev, Luciano Lavagno, Kelvin Lwin, Christos P. Sotiriou Handshake Protocols for De-Synchronization. Search on Bibsonomy ASYNC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
13Mark Holland, Scott Hauck Automatic Creation of Reconfigurable PALs/PLAs for SoC. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
13Rajarshi Mukherjee, Seda Ogrenci Memik Power-Driven Design Partitioning. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
13Rajarshi Mukherjee, Seda Ogrenci Memik Power Management for FPGAs: Power-Driven Design Partitioning. Search on Bibsonomy FCCM The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
13Edward J. McCluskey, Ahmad A. Al-Yamani, Chien-Mo James Li, Chao-Wen Tseng, Erik H. Volkerink, François-Fabien Ferhani, Edward Li, Subhasish Mitra ELF-Murphy Data on Defects and Test Sets. Search on Bibsonomy VTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
13Martin Trefzer, Jörg Langeheine, Johannes Schemmel, Karlheinz Meier New Genetic Operators to Facilitate Understanding of Evolved Transistor Circuits. Search on Bibsonomy Evolvable Hardware The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
13Danil Sokolov, Julian P. Murphy, Alexandre V. Bystrov, Alexandre Yakovlev Improving the Security of Dual-Rail Circuits. Search on Bibsonomy CHES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
13Victor V. Zyuban Optimization of scannable latches for low energy. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
13Abdel Ejnioui, N. Ranganathan Multiterminal net routing for partial crossbar-based multi-FPGA systems. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
13Mitrajit Chatterjee, Dhiraj K. Pradhan A BIST Pattern Generator Design for Near-Perfect Fault Coverage. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF core logic, built-in self-test, synthesis, fault coverage, Linear feedback shift registers, test pattern generation, scan, SOC
13Ansgar Stammermann, Domenik Helms, Milan Schulte, Arne Schulz, Wolfgang Nebel Interconnect Driven Low Power High-Level Synthesis. Search on Bibsonomy PATMOS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
13Akshay Sharma, Carl Ebeling, Scott Hauck PipeRoute: a pipelining-aware router for FPGAs. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF BFS, PipeRoute, retimed circuits, routing, pipelining, minimum spanning tree, retiming, pipelined circuits
13Herman Schmit Extra-dimensional Island-Style FPGAs. Search on Bibsonomy FPL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
13Markus Hütter, Holger Bock, Michael Scheppler A New Reconfigurable Architecture for Single Cycle Context Switching. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
13Saurabh N. Adya, Igor L. Markov, Paul Villarrubia On Whitespace and Stability in Mixed-Size Placement and Physical Synthesis. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
13Yu Cao 0001, Xiaodong Yang, Xuejue Huang, Dennis Sylvester Switch-Factor Based Loop RLC Modeling for Efficient Timing Analysis. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF RLC model, loop inductance, switch-factor, current return loop, data-bus and clock, static timing analysis, slew rate
13Pallav Gupta, Lin Zhong 0001, Niraj K. Jha A High-level Interconnect Power Model for Design Space Exploration. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
13Masud H. Chowdhury, Chirayu S. Amin, Yehea I. Ismail, Chandramouli V. Kashyap, Byron Krauter Realizable reduction of RLC circuits using node elimination. Search on Bibsonomy ISCAS (3) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
13Chirayu S. Amin, Masud H. Chowdhury, Yehea I. Ismail Realizable RLCK circuit crunching. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF crunching, simulation, interconnect, passive, realizable, model order reduction
13Yu Cao 0001, Xuejue Huang, N. H. Chang, Shen Lin, O. Sam Nakagawa, Weize Xie, Dennis Sylvester, Chenming Hu Effective on-chip inductance modeling for multiple signal lines and application to repeater insertion. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
13Jason Baumgartner, Andreas Kuehlmann, Jacob A. Abraham Property Checking via Structural Analysis. Search on Bibsonomy CAV The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
13Khaled Benkrid, Danny Crookes, Abdsamad Benkrid, Samir Belkacemi A Prolog-Based Hardware Development Environment. Search on Bibsonomy FPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
13André DeHon, Randy Huang, John Wawrzynek Hardware-Assisted Fast Routing. Search on Bibsonomy FCCM The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
13Fazrena A. Hamid, Tom J. Kazmierski Synthesis and optimization of analog VLSI filters from VHDL-AMS parse trees. Search on Bibsonomy ISCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
13Mika Kontiala, Aarne Heinonen, Jari Nurmi Low-power methodology issues in digital circuit design. Search on Bibsonomy ISCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
13Ajay J. Daga, Loa Mize, Subramanyam Sripada, Chris Wolff, Qiuyang Wu Automated timing model generation. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF EDA, static timing analysis, model generation
13Chau-Shen Chen, TingTing Hwang, C. L. Liu 0001 Architecture driven circuit partitioning. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
13Rony Kay, Rob A. Rutenbar Wire packing - a strong formulation of crosstalk-aware chip-leveltrack/layer assignment with an efficient integer programming solution. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
13Arlindo L. Oliveira Techniques for the creation of digital watermarks in sequentialcircuit designs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
13Rolf Drechsler, Wolfgang Günther 0001, Lothar Linhard, Gerhard Angst Level Assignment for Displaying Combinational Logic. Search on Bibsonomy DSD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
13Kee Sup Kim, Rathish Jayabharathi, Craig Carstens SpeedGrade: An RTL Path Delay Fault Simulator. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
13Klaus Harbich, Erich Barke PuMA++: From Behavioral Specification to Multi-FPGA-Prototype. Search on Bibsonomy FPL The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
13Albert Simpson, Jill K. Hunter, Moira Wylie, Yi Hu, David Mann Demonstrating Real-Time JPEG Image Compression-Decompression Using Standard Component IP Cores on a Programmable Logic Based Platform for DSP and Image Processing. Search on Bibsonomy FPL The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
13Sheng Sun, Larry McMurchie, Carl Sechen A High-Performance 64-bit Adder Implemented in Output Prediction Logic. Search on Bibsonomy ARVLSI The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
13Wolfgang Günther 0001, Rolf Drechsler Performance Driven Optimization for MUX based FPGAs. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
13Shengchao Qin, Zongyan Qiu, Jifeng He 0001 Constructing Hardware/Software Interface Using Protocol Converters. Search on Bibsonomy APAQS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF protocol converter, program algebra, Hardware/software partition
13Shantanu Dutt, Wenyong Deng Probability-based approaches to VLSI circuit partitioning. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
13Wen-Jong Fang, Allen C.-H. Wu Multiway FPGA partitioning by fully exploiting design hierarchy. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF fine-grained synthesis, functional clustering, multi-way partitioning, multiple-FPGA synthesis
13Joerg Abke, Erich Barke CoMGen: Direct Mapping of Arbitrary Components into LUT-Based FPGAs. Search on Bibsonomy FPL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
13Wolfgang Günther 0001, Rolf Drechsler ACTion: Combining Logic Synthesis and Technology Mapping for MUX Based FPGAs. Search on Bibsonomy EUROMICRO The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
13Thomas Kutzschebauch Efficient Logic Optimization Using Regularity Extraction. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
13Larry McMurchie, Su Kio, Gin Yee, Tyler Thorp, Carl Sechen Output Prediction Logic: A High-Performance CMOS Design Technique. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
13Peivand F. Tehrani, Shang Woo Chyou, Uma Ekambaram Deep Sub-Micron Static Timing Analysis in Presence of Crosstalk. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF simulation, VLSI, timing, Crosstalk, DSM, static, transistor
13Rajesh Pendurkar, Craig A. Tovey, Abhijit Chatterjee Single-probe traversal optimization for testing of MCM substrate interconnections. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
13Lluís Ribas, Jordi Carrabina Digital MOS Circuit Partitioning with Symbolic Modeling. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF switch-level circuit analysis, symbolic circuit traversal, circuit partitioning, symbolic modeling
13Wen-Jong Fang, Peng-Cheng Kao, Allen C.-H. Wu A Multi-Level FPGA Synthesis Method Supporting HDL Debugging for Emulation-Based Designs. Search on Bibsonomy ASP-DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
13Paul Tafertshofer, Andreas Ganz SAT based ATPG using fast justification and propagation in the implication graph. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
13Russell Tessier Incremental Compilation for Logic Emulation. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 1999 DBLP  DOI  BibTeX  RDF virtual wire, scheduling, partitioning, incremental, logic emulation
13Per Lindgren, Rolf Drechsler, Bernd Becker 0001 Synthesis of Pseudo Kronecker Lattice Diagrams. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
13Michael D. Hutton, Jonathan Rose Equivalence classes of clone circuits for physical-design benchmarking. Search on Bibsonomy ISCAS (6) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
13Chia-Pin R. Liu, Jacob A. Abraham Transistor Level Synthesis for Static CMOS Combinational Circuits. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
13Michael D. Hutton, Jonathan Rose, Jerry P. Grossman, Derek G. Corneil Characterization and parameterized generation of synthetic combinational benchmark circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
13James Hwang, Cameron Patterson, S. Mohan, Eric Dellinger, Sujoy Mitra, Ralph Wittig Generating Layouts for Self-implementing Modules. Search on Bibsonomy FPL The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
13Jörn Stohmann, Klaus Harbich, Markus Olbrich, Erich Barke An Optimized Design Flow for Fast FPGA-Based Rapid Prototyping. Search on Bibsonomy FPL The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
13P. S. Nagendra Rao, C. S. Jayathirtha, C. S. Raghavendra Prasad New Net Models for Spectral Netlist Partitioning. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Netlist Partitioning, Spectral Partitioning Net Models, Clique Models, Star Models, Graph Partitioning
13Wen-Jong Fang, Allen C.-H. Wu A hierarchical functional structuring and partitioning approach for multiple-FPGA implementations. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
13Kenneth L. Shepard, Vinod Narayanan, Peter C. Elmendorf, Gutuan Zheng Global harmony: coupled noise analysis for full-chip RC interconnect networks. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF interconnect, noise, static timing analysis
13Franco Fummi, Mariagiovanna Sami, F. Tartarini Use of Statecharts-Related Description to Achieve Testable Design of Control Subsystems. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 217 (100 per page; Change: )
Pages: [<<][1][2][3][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license