Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
20 | Antonio Mastropaolo, Emad Aghajani, Luca Pascarella, Gabriele Bavota |
Automated variable renaming: are we there yet? ![Search on Bibsonomy](Pics/bibsonomy.png) |
Empir. Softw. Eng. ![In: Empir. Softw. Eng. 28(2), pp. 45, March 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
20 | Clemencia Siro, Tunde Oluwaseyi Ajayi |
Evaluating the Robustness of Machine Reading Comprehension Models to Low Resource Entity Renaming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2304.03145, 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
20 | Jingxuan Zhang, Junpeng Luo, Jiahui Liang, Lina Gong, Zhiqiu Huang |
An Accurate Identifier Renaming Prediction and Suggestion Approach. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Softw. Eng. Methodol. ![In: ACM Trans. Softw. Eng. Methodol. 32(6), pp. 148:1-148:51, November 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
20 | Andrei Popescu 0001 |
Rensets and Renaming-Based Recursion for Syntax with Bindings Extended Version. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Autom. Reason. ![In: J. Autom. Reason. 67(3), pp. 23, September 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
20 | Mengru Han, Yan Gu |
What's new in a name? Chinese people's perception of the renaming of COVID-19. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CogSci ![In: Proceedings of the 45th Annual Meeting of the Cognitive Science Society, CogSci 2023, Sydney, NSW, Australia, July 26-29, 2023, 2023, cognitivesciencesociety.org. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP BibTeX RDF |
|
20 | Clemencia Siro, Tunde Oluwaseyi Ajayi |
Evaluating the Robustness of Machine Reading Comprehension Models to Low Resource Entity Renaming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AfricaNLP ![In: Proceedings of the 4th Workshop on African Natural Language Processing, AfricaNLP@ICLR 2023, Kigali, Rwanda, May 1, 2023, 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP BibTeX RDF |
|
20 | Junpeng Luo, Jingxuan Zhang, Zhiqiu Huang, Yong Xu, Chenxing Sun |
Toward an accurate method renaming approach via structural and lexical analyses. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Frontiers Inf. Technol. Electron. Eng. ![In: Frontiers Inf. Technol. Electron. Eng. 23(5), pp. 732-748, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
20 | Ritu Garg, Rakesh Kumar Singh |
SBHDetector: A Fuzzy-Based Hybrid Approach to Detect Renaming and Shifting Between Versions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Open Source Softw. Process. ![In: Int. J. Open Source Softw. Process. 13(1), pp. 1-18, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
20 | Matthieu Nicolas, Gérald Oster, Olivier Perrin 0001 |
Efficient Renaming in Sequence CRDTs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 33(12), pp. 3870-3885, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
20 | Antonio Mastropaolo, Emad Aghajani, Luca Pascarella, Gabriele Bavota |
Automated Variable Renaming: Are We There Yet? ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2212.05738, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
20 | Andrei Popescu 0001 |
Rensets and Renaming-Based Recursion for Syntax with Bindings. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2205.09233, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
20 | Yiannakis Sazeides, Alex Gerber, Ron Gabor, Arkady Bramnik, George Papadimitriou 0001, Dimitris Gizopoulos, Chrysostomos Nicopoulos, Giorgos Dimitrakopoulos, Karyofyllis Patsidis |
IDLD: Instantaneous Detection of Leakage and Duplication of Identifiers used for Register Renaming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: 55th IEEE/ACM International Symposium on Microarchitecture, MICRO 2022, Chicago, IL, USA, October 1-5, 2022, pp. 799-814, 2022, IEEE, 978-1-6654-6272-3. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
20 | Jun Yan 0012, Yang Xiao, Sagnik Mukherjee, Bill Yuchen Lin, Robin Jia, Xiang Ren 0001 |
On the Robustness of Reading Comprehension Models to Entity Renaming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NAACL-HLT ![In: Proceedings of the 2022 Conference of the North American Chapter of the Association for Computational Linguistics: Human Language Technologies, NAACL 2022, Seattle, WA, United States, July 10-15, 2022, pp. 508-520, 2022, Association for Computational Linguistics, 978-1-955917-71-1. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
20 | Andrei Popescu 0001 |
Rensets and Renaming-Based Recursion for Syntax with Bindings. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IJCAR ![In: Automated Reasoning - 11th International Joint Conference, IJCAR 2022, Haifa, Israel, August 8-10, 2022, Proceedings, pp. 618-639, 2022, Springer, 978-3-031-10768-9. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
20 | Abhishek Bhattacharyya, Abhijith Somashekhar, Joshua San Miguel |
NvMR: non-volatile memory renaming for intermittent computing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: ISCA '22: The 49th Annual International Symposium on Computer Architecture, New York, New York, USA, June 18 - 22, 2022, pp. 1-13, 2022, ACM, 978-1-4503-8610-4. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
20 | Huixin Zhan, Victor S. Sheng, Wei-Ming Lin |
Reinforcement learning-based register renaming policy for simultaneous multithreading CPUs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Expert Syst. Appl. ![In: Expert Syst. Appl. 186, pp. 115717, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
20 | Michele Basaldella |
α β-Relations and the Actual Meaning of α-Renaming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Comput. Log. ![In: ACM Trans. Comput. Log. 22(1), pp. 4:1-4:32, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
20 | Petra Berenbrink, André Brinkmann, Robert Elsässer, Tom Friedetzky, Lars Nagel 0001 |
Randomized renaming in shared memory systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Parallel Distributed Comput. ![In: J. Parallel Distributed Comput. 150, pp. 112-120, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
20 | Michael Christian Fink Amores, David Sabel |
Complexity of Deciding Syntactic Equivalence up to Renaming for Term Rewriting Systems (Extended Version). ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2106.13520, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP BibTeX RDF |
|
20 | Jun Yan 0012, Yang Xiao, Sagnik Mukherjee, Bill Yuchen Lin, Robin Jia, Xiang Ren 0001 |
On the Robustness of Reading Comprehension Models to Entity Renaming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2110.08555, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP BibTeX RDF |
|
20 | Jiahui Liang, Weiqin Zou, Jingxuan Zhang, Zhiqiu Huang, Chenxing Sun |
A Deep Method Renaming Prediction and Refinement Approach for Java Projects. ![Search on Bibsonomy](Pics/bibsonomy.png) |
QRS ![In: 21st IEEE International Conference on Software Quality, Reliability and Security, QRS 2021, Hainan, China, December 6-10, 2021, pp. 404-413, 2021, IEEE, 978-1-6654-5813-9. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
20 | Qiqi Gu, Wei Ke 0001 |
A Neural Architecture for Detecting Identifier Renaming from Diff. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IDEAL ![In: Intelligent Data Engineering and Automated Learning - IDEAL 2021 - 22nd International Conference, IDEAL 2021, Manchester, UK, November 25-27, 2021, Proceedings, pp. 33-44, 2021, Springer, 978-3-030-91607-7. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
20 | Helen Dong, Shurui Zhou, Jin L. C. Guo, Christian Kästner |
Splitting, Renaming, Removing: A Study of Common Cleaning Activities in Jupyter Notebooks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASE Workshops ![In: 36th IEEE/ACM International Conference on Automated Software Engineering, ASE 2021 - Workshops, Melbourne, Australia, November 15-19, 2021, pp. 114-119, 2021, IEEE, 978-1-6654-3583-3. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
20 | Siva Nishok Dhanuskodi, Samuel Allen, Daniel E. Holcomb |
Efficient Register Renaming Architectures for 8-bit AES Datapath at 0.55 pJ/bit in 16-nm FinFET. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 28(8), pp. 1807-1820, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
20 | Dejan Spasov |
Pipelined Serial Register Renaming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICT Innovations ![In: ICT Innovations 2020. Machine Learning and Applications - 12th International Conference, ICT Innovations 2020, Skopje, North Macedonia, September 24-26, 2020, Proceedings, pp. 153-161, 2020, Springer, 978-3-030-62097-4. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
20 | Satoshi Mitsuno, Junichiro Kadomoto, Toru Koizumi 0001, Ryota Shioya, Hidetsugu Irie, Shuichi Sakai |
A High-Performance Out-of-Order Soft Processor Without Register Renaming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPL ![In: 30th International Conference on Field-Programmable Logic and Applications, FPL 2020, Gothenburg, Sweden, August 31 - September 4, 2020, pp. 73-78, 2020, IEEE, 978-1-7281-9902-3. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
20 | Xianhua Liu 0001, Qinghong Yang, Miao Tao, Qinshu Chen, Xu Cheng |
An Efficient Register Renaming Technique with Delayed Allocation and Register Packing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICECS ![In: 27th IEEE International Conference on Electronics, Circuits and Systems, ICECS 2020, Glasgow, Scotland, UK, November 23-25, 2020, pp. 1-4, 2020, IEEE, 978-1-7281-6044-3. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
20 | Dejan Spasov |
Sequential Register Renaming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MIPRO ![In: 43rd International Convention on Information, Communication and Electronic Technology, MIPRO 2020, Opatija, Croatia, September 28 - October 2, 2020, pp. 118-122, 2020, IEEE, 978-953-233-099-1. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
20 | Joshua Moerman, Jurriaan Rot |
Separation and Renaming in Nominal Sets. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CSL ![In: 28th EACSL Annual Conference on Computer Science Logic, CSL 2020, January 13-16, 2020, Barcelona, Spain., pp. 31:1-31:17, 2020, Schloss Dagstuhl - Leibniz-Zentrum für Informatik, 978-3-95977-132-0. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
20 | Matthieu Nicolas, Gérald Oster, Olivier Perrin 0001 |
Efficient renaming in sequence CRDTs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PaPoC@EuroSys ![In: 7th Workshop on Principles and Practice of Consistency for Distributed Data, PaPoC@EuroSys 2020, Heraklion, Greece, April 27, 2020, pp. 9:1-9:8, 2020, ACM, 978-1-4503-7524-5. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
20 | Christoph Beierle, Jonas Haldimann |
Transforming Conditional Knowledge Bases into Renaming Normal Form. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FLAIRS ![In: Proceedings of the Thirty-Third International Florida Artificial Intelligence Research Society Conference, Originally to be held in North Miami Beach, Florida, USA, May 17-20, 2020., pp. 563-568, 2020, AAAI Press, 978-1-57735-821-3. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP BibTeX RDF |
|
20 | Ipoom Jeong, Changmin Lee 0002, Keunsoo Kim, Won Woo Ro |
OverCome: Coarse-Grained Instruction Commit with Handover Register Renaming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 68(12), pp. 1802-1816, 2019. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
20 | Joshua Moerman, Jurriaan Rot |
Separation and Renaming in Nominal Sets. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1906.00763, 2019. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP BibTeX RDF |
|
20 | Hagit Attiya, Armando Castañeda, Maurice Herlihy, Ami Paz |
Bounds on the Step and Namespace Complexity of Renaming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIAM J. Comput. ![In: SIAM J. Comput. 48(1), pp. 1-32, 2019. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
20 | Ron Gabor, Yiannakis Sazeides, Arkady Bramnik, Alexandros Andreou, Chrysostomos Nicopoulos, Karyofyllis Patsidis, Dimitris Konstantinou, Giorgos Dimitrakopoulos |
Error-Shielded Register Renaming Sub-system for a Dynamically Scheduled Out-of-Order Core. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Design, Automation & Test in Europe Conference & Exhibition, DATE 2019, Florence, Italy, March 25-29, 2019, pp. 812-817, 2019, IEEE, 978-3-9819263-2-3. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
20 | Reuben N. S. Rowe, Hugo Férée, Simon J. Thompson, Scott Owens |
Characterising renaming within OCaml's module system: theory and implementation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the 40th ACM SIGPLAN Conference on Programming Language Design and Implementation, PLDI 2019, Phoenix, AZ, USA, June 22-26, 2019., pp. 950-965, 2019, ACM, 978-1-4503-6712-7. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
20 | Aurélie Hurault, Philippe Quéinnec |
Proving a Non-blocking Algorithm for Process Renaming with TLA ^+ +. ![Search on Bibsonomy](Pics/bibsonomy.png) |
TAP@FM ![In: Tests and Proofs - 13th International Conference, TAP@FM 2019, Porto, Portugal, October 9-11, 2019, Proceedings, pp. 147-166, 2019, Springer, 978-3-030-31156-8. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
20 | Christoph Beierle, Steven Kutsch |
Systematic Generation of Conditional Knowledge Bases up to Renaming and Equivalence. ![Search on Bibsonomy](Pics/bibsonomy.png) |
JELIA ![In: Logics in Artificial Intelligence - 16th European Conference, JELIA 2019, Rende, Italy, May 7-11, 2019, Proceedings, pp. 279-286, 2019, Springer, 978-3-030-19569-4. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
20 | Reuben N. S. Rowe, Hugo Férée, Simon J. Thompson, Scott Owens |
Rotor: a tool for renaming values in OCaml's module system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IWOR@ICSE ![In: Proceedings of the 3rd International Workshop on Refactoring, IWOR@ICSE 2019, Montreal, QC, Canada, May 28, 2019, pp. 27-30, 2019, IEEE / ACM, 978-1-7281-2270-0. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
20 | Yosuke Isobe, Haruaki Tamada |
Design and Evaluation of the De-obfuscation Method against the Identifier Renaming Methods. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Networked Distributed Comput. ![In: Int. J. Networked Distributed Comput. 6(4), pp. 232-238, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
20 | Anaïs Durand, Michel Raynal, Gadi Taubenfeld |
Set Agreement and Renaming in the Presence of Contention-Related Crash Failures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SSS ![In: Stabilization, Safety, and Security of Distributed Systems - 20th International Symposium, SSS 2018, Tokyo, Japan, November 4-7, 2018, Proceedings, pp. 269-283, 2018, Springer, 978-3-030-03231-9. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
20 | Hidetsugu Irie, Toru Koizumi 0001, Akifumi Fukuda, Seiya Akaki, Satoshi Nakae, Yutaro Bessho, Ryota Shioya, Takahiro Notsu, Katsuhiro Yoda, Teruo Ishihara, Shuichi Sakai |
STRAIGHT: Hazardless Processor Architecture Without Register Renaming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: 51st Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 2018, Fukuoka, Japan, October 20-24, 2018, pp. 121-133, 2018, IEEE Computer Society, 978-1-5386-6240-3. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
20 | Hamid Tabani, José-María Arnau, Jordi Tubella, Antonio González 0001 |
A Novel Register Renaming Technique for Out-of-Order Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: IEEE International Symposium on High Performance Computer Architecture, HPCA 2018, Vienna, Austria, February 24-28, 2018, pp. 259-270, 2018, IEEE Computer Society, 978-1-5386-3659-6. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
20 | Eran Gilad, Tehila Mayzels, Elazar Raab, Mark Oskin, Yoav Etsion |
Architectural Support for Unlimited Memory Versioning and Renaming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 2018 IEEE International Parallel and Distributed Processing Symposium, IPDPS 2018, Vancouver, BC, Canada, May 21-25, 2018, pp. 126-136, 2018, IEEE Computer Society, 978-1-5386-4368-6. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
20 | Jeremy Lacomis, Alan Jaffe, Edward J. Schwartz, Claire Le Goues, Bogdan Vasilescu |
Statistical Machine Translation Is a Natural Fit for Automatic Identifier Renaming in Software Source Code. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AAAI Workshops ![In: The Workshops of the The Thirty-Second AAAI Conference on Artificial Intelligence, New Orleans, Louisiana, USA, February 2-7, 2018., pp. 771-774, 2018, AAAI Press, 978-1-57735-801-5. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP BibTeX RDF |
|
20 | Junichiro Kadomoto, Toru Koizumi 0001, Akifumi Fukuda, Reoma Matsuo, Susumu Mashimo, Akifumi Fujita, Ryota Shioya, Hidetsugu Irie, Shuichi Sakai |
An Area-Efficient Out-of-Order Soft-Core Processor Without Register Renaming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPT ![In: International Conference on Field-Programmable Technology, FPT 2018, Naha, Okinawa, Japan, December 10-14, 2018, pp. 374-377, 2018, IEEE, 978-1-7281-0214-6. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
20 | Yosuke Isobe, Haruaki Tamada |
Are Identifier Renaming Methods Secure? ![Search on Bibsonomy](Pics/bibsonomy.png) |
SNPD ![In: 19th IEEE/ACIS International Conference on Software Engineering, Artificial Intelligence, Networking and Parallel/Distributed Computing, SNPD 2018, Busan, Korea (South), June 27-29, 2018, pp. 322-328, 2018, IEEE Computer Society, 978-1-5386-5889-5. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
20 | Prasanth Chatarasi, Jun Shirako, Albert Cohen 0001, Vivek Sarkar |
A Unified Approach to Variable Renaming for Enhanced Vectorization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCPC ![In: Languages and Compilers for Parallel Computing - 31st International Workshop, LCPC 2018, Salt Lake City, UT, USA, October 9-11, 2018, Revised Selected Papers, pp. 1-20, 2018, Springer, 978-3-030-34626-3. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
20 | Eran Gilad |
Parallel Execution Using Memory Versioning And Renaming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2018 |
RDF |
|
20 | Marija Kulas |
A Practical View on Renaming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WLP / WFLP ![In: Proceedings 29th and 30th Workshops on (Constraint) Logic Programming and 24th International Workshop on Functional and (Constraint) Logic Programming, WLP 2015 / WLP 2016 / WFLP 2016, Dresden and Leipzig, Germany, 22nd September 2015 and 12-14th September 2016., pp. 27-41, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
20 | Matteo Sottana, Carla Piazza, Andrea Albarelli |
Efficient Computation of Renaming Functions for ρ-reversible Discrete and Continuous Time Markov Chains. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VALUETOOLS ![In: Proceedings of the 11th EAI International Conference on Performance Evaluation Methodologies and Tools, VALUETOOLS 2017, Venice, Italy, December 05-07, 2017, pp. 52-59, 2017, ACM. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
20 | Andrea Marin, Sabina Rossi |
Aggregation and Truncation of Reversible Markov Chains Modulo State Renaming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASMTA ![In: Analytical and Stochastic Modelling Techniques and Applications - 24th International Conference, ASMTA 2017, Newcastle-upon-Tyne, UK, July 10-11, 2017, Proceedings, pp. 152-165, 2017, Springer, 978-3-319-61427-4. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
20 | Siva Nishok Dhanuskodi, Daniel E. Holcomb |
An improved clocking methodology for energy efficient low area AES architectures using register renaming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: 2017 IEEE/ACM International Symposium on Low Power Electronics and Design, ISLPED 2017, Taipei, Taiwan, July 24-26, 2017, pp. 1-6, 2017, IEEE, 978-1-5090-6023-8. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
20 | David Sabel |
Alpha-renaming of higher-order meta-expressions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PPDP ![In: Proceedings of the 19th International Symposium on Principles and Practice of Declarative Programming, Namur, Belgium, October 09 - 11, 2017, pp. 151-162, 2017, ACM, 978-1-4503-5291-8. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
20 | Olaf Leßenich, Sven Apel, Christian Kästner, Georg Seibt, Janet Siegmund |
Renaming and shifted code in structured merging: looking ahead for precision and performance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASE ![In: Proceedings of the 32nd IEEE/ACM International Conference on Automated Software Engineering, ASE 2017, Urbana, IL, USA, October 30 - November 03, 2017, pp. 543-553, 2017, IEEE Computer Society, 978-1-5386-2684-9. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
20 | Maurice Herlihy |
Renaming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Encyclopedia of Algorithms ![In: Encyclopedia of Algorithms - 2016 Edition, pp. 1820-1823, 2016, Springer, 978-1-4939-2863-7. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
20 | Hagit Attiya, Ami Paz |
Counting-based impossibility proofs for set agreement and renaming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Parallel Distributed Comput. ![In: J. Parallel Distributed Comput. 87, pp. 1-12, 2016. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
20 | Ali Khosravi |
Business process rearrangement and renaming: A new approach to process orientation and improvement. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Bus. Process. Manag. J. ![In: Bus. Process. Manag. J. 22(1), pp. 116-139, 2016. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
20 | Julien Cohen |
Renaming Global Variables in C Mechanically Proved Correct. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VPT@ETAPS ![In: Proceedings of the Fourth International Workshop on Verification and Program Transformation, VPT@ETAPS 2016, Eindhoven, The Netherlands, 2nd April 2016., pp. 50-64, 2016. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
20 | Maryam Helmi, Lisa Higham, Philipp Woelfel |
Space Bounds for Adaptive Renaming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1603.04067, 2016. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP BibTeX RDF |
|
20 | Sabina Rossi, Andrea Marin |
On Discrete Time Reversibility modulo State Renaming and its Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EAI Endorsed Trans. Self Adapt. Syst. ![In: EAI Endorsed Trans. Self Adapt. Syst. 1(3), pp. e2, 2015. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
20 | Hui Liu 0003, Qiurong Liu, Yang Liu, Zhouding Wang |
Identifying Renaming Opportunities by Expanding Conducted Rename Refactorings. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 41(9), pp. 887-900, 2015. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
20 | Dan Alistarh |
The Renaming Problem: Recent Developments and Open Questions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Bull. EATCS ![In: Bull. EATCS 117, 2015. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP BibTeX RDF |
|
20 | David Bonnin, Corentin Travers |
Splitting and Renaming with a Majority of Faulty Processes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDCN ![In: Proceedings of the 2015 International Conference on Distributed Computing and Networking, ICDCN 2015, Goa, India, January 4-7, 2015, pp. 26:1-26:10, 2015, ACM, 978-1-4503-2928-6. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
20 | Petra Berenbrink, André Brinkmann, Robert Elsässer, Tom Friedetzky, Lars Nagel 0001 |
Randomized Renaming in Shared Memory Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 2015 IEEE International Parallel and Distributed Processing Symposium, IPDPS 2015, Hyderabad, India, May 25-29, 2015, pp. 542-549, 2015, IEEE Computer Society, 978-1-4799-8649-1. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
20 | Salvador Petit, Rafael Ubal, Julio Sahuquillo, Pedro López 0001 |
Efficient Register Renaming and Recovery for High-Performance Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 22(7), pp. 1506-1514, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
20 | Bart Hazes |
CDSbank: taxonomy-aware extraction, selection, renaming and formatting of protein-coding DNA or amino acid sequences. ![Search on Bibsonomy](Pics/bibsonomy.png) |
BMC Bioinform. ![In: BMC Bioinform. 15, pp. 61, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
20 | Armando Castañeda, Maurice Herlihy, Sergio Rajsbaum |
An Equivariance Theorem with Applications to Renaming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Algorithmica ![In: Algorithmica 70(2), pp. 171-194, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
20 | Dan Alistarh, James Aspnes, Keren Censor-Hillel, Seth Gilbert, Rachid Guerraoui |
Tight Bounds for Asynchronous Renaming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. ACM ![In: J. ACM 61(3), pp. 18:1-18:51, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
20 | Komal Jothi, Haitham Akkary |
Tuning the continual flow pipeline architecture with virtual register renaming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Archit. Code Optim. ![In: ACM Trans. Archit. Code Optim. 11(1), pp. 11:1-11:27, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
20 | Dan Alistarh, Justin Kopinsky, Alexander Matveev, Nir Shavit |
The LevelArray: A Fast, Practical Long-Lived Renaming Algorithm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1405.5461, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP BibTeX RDF |
|
20 | Sabina Rossi, Andrea Marin |
On Discrete Time Reversibility modulo State Renaming and its Applications. (DOI:10.4108/icst.valuetools.2014.258200 reused by journals/sas/RossiM15) ![Search on Bibsonomy](Pics/bibsonomy.png) |
VALUETOOLS ![In: 8th International Conference on Performance Evaluation Methodologies and Tools, VALUETOOLS 2014, Bratislava, Slovakia, December 9-11, 2014, 2014, ICST, 978-1-63190-057-0. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP BibTeX RDF |
|
20 | Maryam Helmi, Lisa Higham, Philipp Woelfel |
Space Bounds for Adaptive Renaming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DISC ![In: Distributed Computing - 28th International Symposium, DISC 2014, Austin, TX, USA, October 12-15, 2014. Proceedings, pp. 303-317, 2014, Springer, 978-3-662-45173-1. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
20 | Dan Alistarh, Oksana Denysyuk, Luís E. T. Rodrigues, Nir Shavit |
Balls-into-leaves: sub-logarithmic renaming in synchronous message-passing systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PODC ![In: ACM Symposium on Principles of Distributed Computing, PODC '14, Paris, France, July 15-18, 2014, pp. 232-241, 2014, ACM, 978-1-4503-2944-6. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
20 | Dan Alistarh, Justin Kopinsky, Alexander Matveev, Nir Shavit |
The LevelArray: A Fast, Practical Long-Lived Renaming Algorithm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDCS ![In: IEEE 34th International Conference on Distributed Computing Systems, ICDCS 2014, Madrid, Spain, June 30 - July 3, 2014, pp. 348-357, 2014, IEEE Computer Society, 978-1-4799-5168-0. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
20 | Mageda Sharafeddine, Haitham Akkary, Doug Carmean |
Virtual Register Renaming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ARCS ![In: Architecture of Computing Systems - ARCS 2013 - 26th International Conference, Prague, Czech Republic, February 19-22, 2013. Proceedings, pp. 86-97, 2013, Springer, 978-3-642-36423-5. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
20 | George Giakkoupis, Anne-Marie Kermarrec, Philipp Woelfel |
Gossip Protocols for Renaming and Sorting. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DISC ![In: Distributed Computing - 27th International Symposium, DISC 2013, Jerusalem, Israel, October 14-18, 2013. Proceedings, pp. 194-208, 2013, Springer, 978-3-642-41526-5. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
20 | Armando Castañeda, Michel Raynal |
On the Consensus Number of Non-adaptive Perfect Renaming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NETYS ![In: Networked Systems - First International Conference, NETYS 2013, Marrakech, Morocco, May 2-4, 2013, Revised Selected Papers, pp. 1-12, 2013, Springer, 978-3-642-40147-3. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
20 | Hagit Attiya, Armando Castañeda, Maurice Herlihy, Ami Paz |
Upper bound on the complexity of solving hard renaming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PODC ![In: ACM Symposium on Principles of Distributed Computing, PODC '13, Montreal, QC, Canada, July 22-24, 2013, pp. 190-199, 2013, ACM, 978-1-4503-2065-8. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
20 | Dan Alistarh, James Aspnes, George Giakkoupis, Philipp Woelfel |
Randomized loose renaming in O(log log n) time. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PODC ![In: ACM Symposium on Principles of Distributed Computing, PODC '13, Montreal, QC, Canada, July 22-24, 2013, pp. 200-209, 2013, ACM, 978-1-4503-2065-8. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
20 | Oksana Denysyuk, Luís E. T. Rodrigues |
Byzantine renaming in synchronous systems with t ![Search on Bibsonomy](Pics/bibsonomy.png) |
PODC ![In: ACM Symposium on Principles of Distributed Computing, PODC '13, Montreal, QC, Canada, July 22-24, 2013, pp. 210-219, 2013, ACM, 978-1-4503-2065-8. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
20 | Oksana Denysyuk, Luís E. T. Rodrigues |
Order-Preserving Renaming in Synchronous Systems with Byzantine Faults. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDCS ![In: IEEE 33rd International Conference on Distributed Computing Systems, ICDCS 2013, 8-11 July, 2013, Philadelphia, Pennsylvania, USA, pp. 276-285, 2013, IEEE Computer Society, 978-0-7695-5000-8. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
20 | Komal Jothi, Haitham Akkary |
Virtual register renaming: energy efficient substrate for continual flow pipelines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Great Lakes Symposium on VLSI 2013 (part of ECRC), GLSVLSI'13, Paris, France, May 2-4, 2013, pp. 43-48, 2013, ACM, 978-1-4503-2032-0. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
20 | Yehuda Afek, Petr Kuznetsov, Israel Nir |
Renaming and the weakest family of failure detectors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Distributed Comput. ![In: Distributed Comput. 25(6), pp. 411-425, 2012. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
20 | Armando Castañeda, Sergio Rajsbaum |
New combinatorial topology bounds for renaming: The upper bound. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. ACM ![In: J. ACM 59(1), pp. 3:1-3:49, 2012. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
20 | Oksana Denysyuk, Luís E. T. Rodrigues |
Order-preserving Renaming in Synchronous Message Passing Systems with Byzantine Faults ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1205.0477, 2012. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP BibTeX RDF |
|
20 | Hagit Attiya, Ami Paz |
Counting-Based Impossibility Proofs for Renaming and Set Agreement. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DISC ![In: Distributed Computing - 26th International Symposium, DISC 2012, Salvador, Brazil, October 16-18, 2012. Proceedings, pp. 356-370, 2012, Springer, 978-3-642-33650-8. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
20 | Oksana Denysyuk, Luís E. T. Rodrigues |
Brief announcement: order-preserving renaming in synchronous message passing systems with byzantine faults. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PODC ![In: ACM Symposium on Principles of Distributed Computing, PODC '12, Funchal, Madeira, Portugal, July 16-18, 2012, pp. 233-234, 2012, ACM, 978-1-4503-1450-3. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
20 | Armando Castañeda, Sergio Rajsbaum, Michel Raynal |
Brief announcement: there are plenty of tasks weaker than perfect renaming and stronger than set agreement. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PODC ![In: ACM Symposium on Principles of Distributed Computing, PODC '12, Funchal, Madeira, Portugal, July 16-18, 2012, pp. 97-98, 2012, ACM, 978-1-4503-1450-3. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
20 | Armando Castañeda, Michel Raynal, Julien Stainer |
When and How Process Groups Can Be Used to Reduce the Renaming Space. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OPODIS ![In: Principles of Distributed Systems, 16th International Conference, OPODIS 2012, Rome, Italy, December 18-20, 2012. Proceedings, pp. 91-105, 2012, Springer, 978-3-642-35475-5. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
20 | Dan Alistarh, Hagit Attiya, Rachid Guerraoui, Corentin Travers |
Early Deciding Synchronous Renaming in O( logf ) Rounds or Less. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIROCCO ![In: Structural Information and Communication Complexity - 19th International Colloquium, SIROCCO 2012, Reykjavik, Iceland, June 30-July 2, 2012, Revised Selected Papers, pp. 195-206, 2012, Springer, 978-3-642-31103-1. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
20 | Hung Viet Nguyen, Hoan Anh Nguyen, Tung Thanh Nguyen, Tien N. Nguyen |
BabelRef: Detection and renaming tool for cross-language program entities in dynamic web applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICSE ![In: 34th International Conference on Software Engineering, ICSE 2012, June 2-9, 2012, Zurich, Switzerland, pp. 1391-1394, 2012, IEEE Computer Society, 978-1-4673-1067-3. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
20 | Armando Castañeda, Maurice Herlihy, Sergio Rajsbaum |
An Equivariance Theorem with Applications to Renaming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LATIN ![In: LATIN 2012: Theoretical Informatics - 10th Latin American Symposium, Arequipa, Peru, April 16-20, 2012. Proceedings, pp. 133-144, 2012, Springer, 978-3-642-29343-6. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
20 | Elham Safi, Andreas Moshovos, Andreas G. Veneris |
Two-Stage, Pipelined Register Renaming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 19(10), pp. 1926-1931, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
20 | Alex Brodsky, Faith Ellen, Philipp Woelfel |
Fully-adaptive algorithms for long-lived renaming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Distributed Comput. ![In: Distributed Comput. 24(2), pp. 119-134, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
20 | Pieter Bellens, Josep M. Pérez, Rosa M. Badia, Jesús Labarta |
Making the Best of Temporal Locality: Just-in-Time Renaming and Lazy Write-Back on the Cell/B.E. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. High Perform. Comput. Appl. ![In: Int. J. High Perform. Comput. Appl. 25(2), pp. 137-147, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
20 | Armando Castañeda, Sergio Rajsbaum, Michel Raynal |
The renaming problem in shared memory systems: An introduction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. Sci. Rev. ![In: Comput. Sci. Rev. 5(3), pp. 229-251, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
20 | Armando Castañeda, Maurice Herlihy, Sergio Rajsbaum |
An Equivariance Theorem with Applications to Renaming (Preliminary Version) ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1102.4946, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP BibTeX RDF |
|
20 | Dan Alistarh, James Aspnes, Seth Gilbert, Rachid Guerraoui |
The Complexity of Renaming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FOCS ![In: IEEE 52nd Annual Symposium on Foundations of Computer Science, FOCS 2011, Palm Springs, CA, USA, October 22-25, 2011, pp. 718-727, 2011, IEEE Computer Society, 978-1-4577-1843-4. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
20 | Dan Alistarh, James Aspnes, Keren Censor-Hillel, Seth Gilbert, Morteza Zadimoghaddam |
Optimal-time adaptive strong renaming, with applications to counting. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PODC ![In: Proceedings of the 30th Annual ACM Symposium on Principles of Distributed Computing, PODC 2011, San Jose, CA, USA, June 6-8, 2011, pp. 239-248, 2011, ACM, 978-1-4503-0719-2. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|