The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for routability with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1973-1991 (16) 1992-1994 (22) 1995-1996 (23) 1997-1998 (20) 1999-2000 (24) 2001 (19) 2002 (24) 2003 (35) 2004 (32) 2005 (34) 2006 (30) 2007 (30) 2008 (34) 2009 (24) 2010-2011 (24) 2012-2013 (20) 2014-2015 (17) 2016-2017 (24) 2018-2019 (24) 2020-2021 (16) 2022-2023 (25) 2024 (7)
Publication types (Num. hits)
article(155) inproceedings(369)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 363 occurrences of 173 keywords

Results
Found 524 publication records. Showing 524 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
21Seonggeun Ryu, Youngsong Mun An Optimized Scheme for Mobile IPv6 Handover Between Domains Based on AAA. Search on Bibsonomy EUC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Tsung-Yi Ho, Yao-Wen Chang, Sao-Jie Chen, D. T. Lee Crosstalk- and performance-driven multilevel full-chip routing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Hongbing Fan, Yu-Liang Wu Crossbar based design schemes for switch boxes and programmable interconnection networks. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF switch matrix, FPGA, routing, interconnection network, layout, crossbar, switch box
21Tai-Chen Chen, Yao-Wen Chang Multilevel full-chip gridless routing considering optical proximity correction. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Chiu-Wing Sham, Evangeline F. Y. Young Congestion prediction in floorplanning. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Eric Hsiao-Kuang Wu, Y. Ahmet Sekercioglu, Greg Daley, Sathya Narayanan An Analytical Study of Direct and Indirect Signaling for Mobile IPv6 in Mobile-to-Mobile Communications. Search on Bibsonomy ISCC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Qinghua Liu, Malgorzata Marek-Sadowska A congestion-driven placement framework with local congestion prediction. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF cell padding, congestion prediction, placement migration
21María Calderón, Carlos Jesus Bernardos, Marcelo Bagnulo, Ignacio Soto Securing Route Optimisation in NEMO. Search on Bibsonomy WiOpt The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Nak-Woong Eum, Taewhan Kim, Chong-Min Kyung CeRA: A Router for Symmetrical FPGAs Based on Exact Routing Density Evaluation. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Gi-Joon Nam, Fadi A. Aloul, Karem A. Sakallah, Rob A. Rutenbar A Comparative Study of Two Boolean Formulations of FPGA Detailed Routing Constraints. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Ulrich Brenner, Anna Pauli, Jens Vygen Almost optimum placement legalization by minimum cost flow and dynamic programming. Search on Bibsonomy ISPD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF placement, legalization, minimum-cost flow, detailed placement
21Saurabh N. Adya, S. Chaturvedi, Jarrod A. Roy, David A. Papa, Igor L. Markov Unification of partitioning, placement and floorplanning. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Andrew B. Kahng, Igor L. Markov, Sherief Reda On legalization of row-based placements. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF min-cut placement, legalization, detailed placement
21Prabhakar Kudva, Andrew Sullivan, William E. Dougherty Measurements for structural logic synthesis optimizations. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Yao-Wen Chang, Kai Zhu 0001, Guang-Ming Wu, D. F. Wong 0001, C. K. Wong Analysis of FPGA/FPIC switch modules. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF FPIC, FPGA, synthesis, layout, Computer-aided design of VLSI
21Seokjin Lee, Hua Xiang 0001, D. F. Wong 0001, Richard Y. Sun Wire type assignment for FPGA routing. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF min-cost flow algorithm, wire type assignment, FPGA routing
21Steve T. W. Lai, Evangeline F. Y. Young, Chris C. N. Chu A New and Efficient Congestion Evaluation Model in Floorplanning: Wire Density Control with Twin Binary Trees. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Jinan Lou, Shashidhar Thakur, Shankar Krishnamoorthy, Henry S. Sheng Estimating routing congestion using probabilistic analysis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Russell Tessier Fast placement approaches for FPGAs. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF field-programmable gate arrays, synthesis, layout, Computer-aided design of VLSI
21Amit Singh 0001, Malgorzata Marek-Sadowska Efficient circuit clustering for area and power reduction in FPGAs. Search on Bibsonomy FPGA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Wai-Chiu Wong, Chiu-Wing Sham, Evangeline F. Y. Young Congestion Estimation with Buffer Planning in Floorplan Design. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Gi-Joon Nam, Karem A. Sakallah, Rob A. Rutenbar Hybrid Routing for FPGAs by Integrating Boolean Satisfiability with Geometric Search. Search on Bibsonomy FPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Prabhakar Kudva, Andrew Sullivan, William E. Dougherty Metrics for structural logic synthesis. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Chau-Shen Chen, TingTing Hwang, C. L. Liu 0001 Architecture driven circuit partitioning. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21Jinan Lou, Shankar Krishnamoorthy, Henry S. Sheng Estimating routing congestion using probabilistic analysis. Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21Gi-Joon Nam, Fadi A. Aloul, Karem A. Sakallah, Rob A. Rutenbar A comparative study of two Boolean formulations of FPGA detailed routing constraints. Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21Yao-Wen Chang, D. F. Wong 0001, C. K. Wong Universal switch modules for FPGA design. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
21Yoshihiro Konno, Kazushi Nakamura, Tatsushige Bitoh, Koji Saga, Seiken Yano A Consistent Scan Design System for Large-Scale ASICs. Search on Bibsonomy Asian Test Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
21Larry McMurchie, Carl Ebeling PathFinder: A Negotiation-based Performance-driven Router for FPGAs. Search on Bibsonomy FPGA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
21Jason Lee, Lesley Shannon Predicting the performance of application-specific NoCs implemented on FPGAs. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF performance, FPGAs, topologies, heterogeneous, NoCs, homogeneous, application-specific, routability
21Keith So Solving hard instances of FPGA routing with a congestion-optimal restrained-norm path search space. Search on Bibsonomy ISPD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF negotiated congestion, routability-driven routing, FPGA
21Mehdi Saeedi, Morteza Saheb Zamani, Ali Jahanian 0001 Prediction and reduction of routing congestion. Search on Bibsonomy ISPD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF placement, physical design, congestion, routability
21Jurjen Westra, Patrick Groeneveld Is probabilistic congestion estimation worthwhile? Search on Bibsonomy SLIP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF congestion estimation, degenerate global routing, probabilistic congestion estimation, congestion, routability
21Xiaojian Yang, Maogang Wang, Ryan Kastner, Soheil Ghiasi, Majid Sarrafzadeh Congestion reduction during placement with provably good approximation bound. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF placement, Physical design, congestion, routability
21Yuchun Ma, Xianlong Hong, Sheqin Dong, Song Chen 0001, Yici Cai, Chung-Kuan Cheng, Jun Gu An integrated floorplanning with an efficient buffer planning algorithm. Search on Bibsonomy ISPD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF floorplanning, buffer insertion, routability
21Yuchun Ma, Xianlong Hong, Sheqin Dong, Song Chen 0001, Yici Cai, Chung-Kuan Cheng, Jun Gu Dynamic global buffer planning optimization based on detail block locating and congestion analysis. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF congestion, floorplanning, buffer insertion, routability
21Jeffrey Z. Su, Wayne Wei-Ming Dai Post-route optimization for improved yield using a rubber-band wiring model. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Rubber-Band, Topological Wiring Even Wire Distribution, Yield, Design for Manufacturability, Spacing, Bridge Fault, Routability, Critical Area, Layout Optimization, Routing Congestion
21Keumog Ahn, Sartaj Sahni NP-Hard Module Rotation Problems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1993 DBLP  DOI  BibTeX  RDF NP-hard module rotation problems, circuit modules, performance, computational complexity, circuit layout CAD, circuit layout CAD, routability
21Chung-Kuan Cheng, So-Zen Yao, T. C. Hu The Orientation of Modules Based on Graph Decomposition. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1991 DBLP  DOI  BibTeX  RDF layout stage, total net length, printed circuits, computational complexity, VLSI, VLSI, graph theory, NP-complete, modules, orientation, circuit layout CAD, routability, minimum cut, graph decomposition, printed circuit board, graph problem
19Zijun Li 0005, Ziran Zhu, Huan He, Jianli Chen An effective routability-driven packing algorithm for large-scale heterogeneous FPGAs. Search on Bibsonomy Integr. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
19Yilu Chen, Miaodi Su, Hongzhi Ding, Shaohong Weng, Zhifeng Lin, Xiqiong Bai High-correlation 3D routability estimation for congestion-guided global routing. Search on Bibsonomy J. Supercomput. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
19Fangzhou Wang, Jinwei Liu, Wing Ho Lau, Haocheng Li, Evangeline F. Y. Young FastPass: A Fast Pin Access Analysis Framework for Detailed Routability Enhancement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
19Chen-Chia Chang, Jingyu Pan, Zhiyao Xie, Tunhou Zhang, Jiang Hu, Yiran Chen 0001 Toward Fully Automated Machine Learning for Routability Estimator Development. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
19Hailiang Li, Yan Huo, Yan Wang, Xu Yang, Miaohui Hao, Xiao Wang A Lightweight Inception Boosted U-Net Neural Network for Routability Prediction. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
19Bing-Xun Song, Ting Xin Lin, Yih-Lang Li Routability Booster " Synthesize a Routing Friendly Standard Cell Library by Relaxing BEOL Resources. Search on Bibsonomy ISPD The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
19Chien-Pang Lu, Iris Hui-Ru Jiang, Chung-Ching Peng, Mohd Mawardi Mohd Razha, Alessandro Uber Power Sub-Mesh Construction in Multiple Power Domain Design with IR Drop and Routability Optimization. Search on Bibsonomy ISPD The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
19Jingsong Chen, Jian Kuang 0001, Guowei Zhao, Dennis J.-H. Huang, Evangeline F. Y. Young PROS 2.0: A Plug-In for Routability Optimization and Routed Wirelength Estimation Using Deep Learning. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Daijoon Hyun, Sunwha Koh, Younggwang Jung, Taeyoung Kim, Youngsoo Shin Routability Optimization of Extreme Aspect Ratio Design through Non-uniform Placement Utilization and Selective Flip-flop Stacking. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Ping-Wei Huang, Yao-Wen Chang Routability-driven Power/Ground Network Optimization Based on Machine Learning. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Jonathan W. Greene FPGA Mux Usage and Routability Estimates without Explicit Routing. Search on Bibsonomy FPGA The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Daeyeon Kim, Jakang Lee, Seokhyeong Kang Routability Prediction using Deep Hierarchical Classification and Regression. Search on Bibsonomy DATE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Andrew David Gunter, Steve Wilton Reformulating the FPGA Routability Prediction Problem with Machine Learning. Search on Bibsonomy FCCM The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Jai-Ming Lin, Tsung-Chun Tsai, Rui-Ting Shen Routability-Driven Orientation-Aware Analytical Placement for System in Package. Search on Bibsonomy ICCAD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Seonghyeon Park, Daeyeon Kim, Seongbin Kwon, Seokhyeong Kang Routability Prediction and Optimization Using Explainable AI. Search on Bibsonomy ICCAD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Xun Jiang, Zizheng Guo, Zhuomin Chai, Yuxiang Zhao, Yibo Lin, Runsheng Wang, Ru Huang Invited Paper: Accelerating Routability and Timing Optimization with Open-Source AI4EDA Dataset CircuitNet and Heterogeneous Platforms. Search on Bibsonomy ICCAD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Younggwang Jung, Daijoon Hyun, Soyoon Choi, Youngsoo Shin Power Distribution Network Optimization Using HLA-GCN for Routability Enhancement. Search on Bibsonomy ICCAD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Wonjae Lee, Insu Cho, Gangmin Cho, Youngsoo Shin Routability-Driven Power Distribution Network Synthesis with IR-Drop Budgeting. Search on Bibsonomy MLCAD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Seonghyeon Park, Daeyeon Kim, Seokhyeong Kang Invited: Acceleration on Physical Design: Machine Learning-based Routability Optimization. Search on Bibsonomy SLIP The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Chieh-Yu Cheng, Ting-Chi Wang Routability-aware Placement Guidance Generation for Mixed-size Designs. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Zhijie Cai, Peng Zou, Zhengtao Wu, Xingyu Tong, Jun Yu 0010, Jianli Chen, Yao-Wen Chang PUFFER: A Routability-Driven Placement Framework via Cell Padding with Multiple Features and Strategy Exploration. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Jai-Ming Lin, Liang-Chi Zane, Min-Chia Tsai, Yung-Chen Chen, Che-Li Lin, Chen-Fa Tsai PPOM: An Effective Post-Global Placement Optimization Methodology for Better Wirelength and Routability. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
19Chung-Kuan Cheng, Andrew B. Kahng, Hayoung Kim, Minsoo Kim, Daeyeal Lee, Dongwon Park, Mingyu Woo PROBE2.0: A Systematic Framework for Routability Assessment From Technology to Design in Advanced Nodes. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
19Jingyu Pan, Chen-Chia Chang, Zhiyao Xie, Ang Li 0005, Minxue Tang, Tunhou Zhang, Jiang Hu, Yiran Chen 0001 Towards Collaborative Intelligence: Routability Estimation based on Decentralized Private Data. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
19Sheiny Fabre Almeida, José Luís Güntzel, Laleh Behjat, Cristina Meinhardt Routability-Driven Detailed Placement Using Reinforcement Learning. Search on Bibsonomy VLSI-SoC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
19Hao Chen 0059, Walker J. Turner, David Z. Pan, Haoxing Ren Routability-Aware Placement for Advanced FinFET Mixed-Signal Circuits using Satisfiability Modulo Theories. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
19Miaodi Su, Hongzhi Ding, Shaohong Weng, Changzhong Zou, Zhonghua Zhou, Yilu Chen, Jianli Chen, Yao-Wen Chang High-Correlation 3D Routability Estimation for Congestion-guided Global Routing. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
19Jiayuan He 0003, Udit Agarwal, Yihang Yang, Rajit Manohar, Keshav Pingali SPRoute 2.0: A detailed-routability-driven deterministic parallel global router with soft capacity. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
19Jai-Ming Lin, Hao-Yuan Hsieh, Hsuan Kung, Hao-Jia Lin Routability-Driven Analytical Placement with Precise Penalty Models for Large-Scale 3D ICs. Search on Bibsonomy ICCAD The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
19Yuxuan Pan, Zhonghua Zhou, André Ivanov Routability-driven Global Routing with 3D Congestion Estimation Using a Customized Neural Network. Search on Bibsonomy ISQED The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
19Jingyu Pan, Chen-Chia Chang, Zhiyao Xie, Ang Li 0005, Minxue Tang, Tunhou Zhang, Jiang Hu, Yiran Chen 0001 Towards collaborative intelligence: routability estimation based on decentralized private data. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
19Abeer Y. Al-Hyari, Hannah Szentimrey, Ahmed Shamli, Timothy Martin, Gary Gréwal, Shawki Areibi A Deep Learning Framework to Predict Routability for FPGA Circuit Placement. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
19Song Mu, Yi Zeng, Bo Wang Routability-Enhanced Scheduling for Application Mapping on CGRAs. Search on Bibsonomy IEEE Access The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
19Jia-Hong Chang, Shao-Yun Fang Placement-guided pin layout substitution for routability optimization. Search on Bibsonomy Microelectron. J. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
19Daeyeon Kim, Hyun-jeong Kwon, Sung-Yun Lee, Seungwon Kim, Mingyu Woo, Seokhyeong Kang Machine Learning Framework for Early Routability Prediction with Artificial Netlist Generator. Search on Bibsonomy DATE The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
19Siting Liu 0002, Qi Sun 0002, Peiyu Liao, Yibo Lin, Bei Yu 0001 Global Placement with Deep Learning-Enabled Explicit Routability Optimization. Search on Bibsonomy DATE The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
19Chen-Chia Chang, Jingyu Pan, Tunhou Zhang, Zhiyao Xie, Jiang Hu, Weiyi Qi, Chung-Wei Lin, Rongjian Liang, Joydeep Mitra, Elias Fallon, Yiran Chen 0001 Automatic Routability Predictor Development Using Neural Architecture Search. Search on Bibsonomy ICCAD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
19Jai-Ming Lin, Chung-Wei Huang, Liang-Chi Zane, Min-Chia Tsai, Che-Li Lin, Chen-Fa Tsai Routability-driven Global Placer Target on Removing Global and Local Congestion for VLSI Designs. Search on Bibsonomy ICCAD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
19Timothy Martin, Shawki Areibi, Gary Gréwal Effective Machine-Learning Models for Predicting Routability During FPGA Placement. Search on Bibsonomy MLCAD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
19Sunwha Koh, Younggwang Jung, Daijoon Hyun, Youngsoo Shin Routability Optimization for Extreme Aspect Ratio Design Using Convolutional Neural Network. Search on Bibsonomy ISCAS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
19Dongwon Park, Daeyeal Lee, Ilgweon Kang, Chester Holtz, Sicun Gao, Bill Lin 0001, Chung-Kuan Cheng Grid-Based Framework for Routability Analysis and Diagnosis With Conditional Design Rules. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
19Hannah Szentimrey, Abeer Y. Al-Hyari, Jérémy Foxcroft, Timothy Martin, David Noel, Gary William Grewal, Shawki Areibi Machine Learning for Congestion Management and Routability Prediction within FPGA Placement. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
19Jingyu Pan, Chen-Chia Chang, Tunhou Zhang, Zhiyao Xie, Jiang Hu, Weiyi Qi, Chung-Wei Lin, Rongjian Liang, Joydeep Mitra, Elias Fallon, Yiran Chen 0001 Automatic Routability Predictor Development Using Neural Architecture Search. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
19Jingsong Chen, Jian Kuang 0001, Guowei Zhao, Dennis J.-H. Huang, Evangeline F. Y. Young PROS: A Plug-in for Routability Optimization applied in the State-of-the-art commercial EDA tool using deep learning. Search on Bibsonomy ICCAD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
19Chung-Kuan Cheng, Chia-Tung Ho, Daeyeal Lee, Dongwon Park A Routability-Driven Complimentary-FET (CFET) Standard Cell Synthesis Framework using SMT. Search on Bibsonomy ICCAD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
19Run-Yi Wang, Yao-Wen Chang Routability-Aware Pin Access Optimization for Monolithic 3D Designs. Search on Bibsonomy ICCAD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
19Jinwei Liu, Chak-Wa Pui, Fangzhou Wang, Evangeline F. Y. Young CUGR: Detailed-Routability-Driven 3D Global Routing with Probabilistic Resource Model. Search on Bibsonomy DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
19Abeer Y. Al-Hyari, Ziad Abuowaimer, Timothy Martin, Gary Gréwal, Shawki Areibi, Anthony Vannelli Novel Congestion-estimation and Routability-prediction Methods based on Machine Learning for Modern FPGAs. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
19Jai-Ming Lin, You-Lun Deng, Szu-Ting Li, Bo-Heng Yu, Li-Yen Chang, Te-Wei Peng Regularity-Aware Routability-Driven Macro Placement Methodology for Mixed-Size Circuits With Obstacles. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
19Prasun Datta, Shyamapada Mukherjee Architecture-aware routability-driven placer for large-scale mixed-size designs. Search on Bibsonomy IET Circuits Devices Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
19Yuan Wang, Martin A. Trefzer, Simon J. Bale, James Alfred Walker, Andy M. Tyrrell Multi-objective optimisation algorithm for routability and timing driven circuit clustering on FPGAs. Search on Bibsonomy IET Comput. Digit. Tech. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
19Chung-Kuan Cheng, Andrew B. Kahng, Ilgweon Kang, Lutong Wang RePlAce: Advancing Solution Quality and Routability Validation in Global Placement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
19Wei-Kai Cheng, Chih-Shuan Wu Machine Learning Techniques for Building and Evaluation of Routability-driven Macro Placement. Search on Bibsonomy ICCE-TW The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
19Yu-Hung Huang, Zhiyao Xie, Guan-Qi Fang, Tao-Chun Yu, Haoxing Ren, Shao-Yun Fang, Yiran Chen 0001, Jiang Hu Routability-Driven Macro Placement with Embedded CNN-Based Prediction Model. Search on Bibsonomy DATE The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
19Abeer Alhyari, Ahmed Shamli, Ziad Abuwaimer, Shawki Areibi, Gary Gréwal A Deep Learning Framework to Predict Routability for FPGA Circuit Placement. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
19Dongwon Park, Ilgweon Kang, Yeseong Kim, Sicun Gao, Bill Lin 0001, Chung-Kuan Cheng ROAD: Routability Analysis and Diagnosis Framework Based on SAT Techniques. Search on Bibsonomy ISPD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
19Genggeng Liu, Zhen Zhuang, Wenzhong Guo, Ting-Chi Wang RDTA: An Efficient Routability-Driven Track Assignment Algorithm. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
19Zhonghua Zhou, Sunmeet Chahal, Tsung-Yi Ho, André Ivanov Supervised-Learning Congestion Predictor For Routability-Driven Global Routing. Search on Bibsonomy VLSI-DAT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
19Jai-Ming Lin, Szu-Ting Li, Yi-Ting Wang Routability-driven Mixed-size Placement Prototyping Approach Considering Design Hierarchy and Indirect Connectivity Between Macros. Search on Bibsonomy DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
19Oleg Petelin, Vaughn Betz Wotan: Evaluating FPGA Architecture Routability without Benchmarks. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
19Wuxi Li, Shounak Dhar, David Z. Pan UTPlaceF: A Routability-Driven FPGA Placer With Physical and Congestion Aware Packing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 524 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license