The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for speculative with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1968-1990 (15) 1991-1992 (23) 1993 (21) 1994 (25) 1995 (31) 1996 (20) 1997 (34) 1998 (44) 1999 (61) 2000 (56) 2001 (53) 2002 (72) 2003 (74) 2004 (85) 2005 (99) 2006 (79) 2007 (93) 2008 (76) 2009 (74) 2010 (66) 2011 (51) 2012 (55) 2013 (51) 2014 (47) 2015 (52) 2016 (48) 2017 (61) 2018 (66) 2019 (104) 2020 (84) 2021 (94) 2022 (91) 2023 (111) 2024 (30)
Publication types (Num. hits)
article(547) incollection(12) inproceedings(1433) phdthesis(54)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1204 occurrences of 608 keywords

Results
Found 2046 publication records. Showing 2046 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
42Yanning Du, Yinliang Zhao, Bo Han 0005, Yuancheng Li Optimistic Parallelism Based on Speculative Asynchronous Messages Passing. Search on Bibsonomy ISPA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Speculative thread-level parallelism, speculative asynchronous message passing, object versioning
42Taisei Kaizoji A Synergetic Approach to Speculative Price Volatility. Search on Bibsonomy SAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF bandwagon traders, financial crises, fundamentalists, speculative bubbles, speculative chaos, synergetics
41Michael D. Smith 0001, Mark Horowitz, Monica S. Lam Efficient Superscalar Performance Through Boosting. Search on Bibsonomy ASPLOS The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
39Jaehyuk Huh 0001, Jichuan Chang, Doug Burger, Gurindar S. Sohi Coherence decoupling: making use of incoherence. Search on Bibsonomy ASPLOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF coherence decoupling, coherence misses, speculative cache lookup, false sharing
39Eric Hao, Po-Yung Chang, Yale N. Patt The effect of speculatively updating branch history on branch prediction accuracy, revisited. Search on Bibsonomy MICRO The full citation details ... 1994 DBLP  DOI  BibTeX  RDF two-level adaptive branch prediction, speculative execution, superscalar processors, out-of-order execution, dynamic branch prediction
38Lin Gao 0002, Lian Li 0002, Jingling Xue, Tin-Fook Ngai Exploiting Speculative TLP in Recursive Programs by Dynamic Thread Prediction. Search on Bibsonomy CC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
38Ilias Iliadis, Cyriel Minkenberg Performance of a speculative transmission scheme for scheduling-latency reduction. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF electrooptic switches, scheduling, modeling, packet switching, arbiters
38Jesús Alastruey, Teresa Monreal, Víctor Viñals, Mateo Valero Speculative early register release. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF physical register release, optimization, register file, register renaming
38Rahul Nagpal, Anasua Bhowmik Criticality Driven Energy Aware Speculation for Speculative Multithreaded Processors. Search on Bibsonomy HiPC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
38Pen-Chung Yew Using Speculative Multithreading for General-Purpose Applications. Search on Bibsonomy ISPA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
38John Whaley, Christos Kozyrakis Heuristics for Profile-Driven Method-Level Speculative Parallelization. Search on Bibsonomy ICPP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
38Anasua Bhowmik, Manoj Franklin A General Compiler Framework for Speculative Multithreaded Processors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
38Jin Lin, Wei-Chung Hsu, Pen-Chung Yew, Roy Dz-Ching Ju, Tin-Fook Ngai A Compiler Framework for Recovery Code Generation in General Speculative Optimizations. Search on Bibsonomy IEEE PACT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
38Ricardo Rocha 0001, Fernando M. A. Silva, Vítor Santos Costa Speculative Computations in Or-Parallel Tabled Logic Programs. Search on Bibsonomy ICLP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
38Won Woo Ro, Jean-Luc Gaudiot SPEAR: A Hybrid Model for Speculative Pre-Execution. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
38Yasuhiko Kitamura, Takuya Murao Agent Based Risk Management Methods for Speculative Actions. Search on Bibsonomy PRIMA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
38Onur Mutlu, Hyesoon Kim, David N. Armstrong, Yale N. Patt Cache Filtering Techniques to Reduce the Negative Impact of Useless Speculative Memory References on Processor Performance. Search on Bibsonomy SBAC-PAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
38Mohamed M. Zahran, Manoj Franklin Dynamic Thread Resizing for Speculative Multithreaded Processors. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
38Jane Cleland-Huang, Carl K. Chang, Gaurav Sethi, Kumar Javvaji, Haijian Hu, Jinchun Xia Automating Speculative Queries through Event-Based Requirements Traceability. Search on Bibsonomy RE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
38Carlos Molina, Antonio González 0001, Jordi Tubella Trace-Level Speculative Multithreaded Architecture. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
38Hai-Feng Guo, C. R. Ramakrishnan 0001, I. V. Ramakrishnan Speculative Beats Conservative Justification. Search on Bibsonomy ICLP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
38Craig B. Zilles, Gurindar S. Sohi Execution-based prediction using speculative slices. Search on Bibsonomy ISCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
38Anshuman S. Nadkarni, Akhilesh Tyagi A Trace Based Evaluation of Speculative Branch Decoupling. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
38Ravi Bhargava, Lizy Kurian John, Francisco Matus Accurately modeling speculative instruction fetching in trace-driven simulation. Search on Bibsonomy IPCCC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
38Nor Jaidi Tuah, Mohan Kumar, Svetha Venkatesh A Performance Model of Speculative Prefetching in Distributed Information Systems. Search on Bibsonomy IPPS/SPDP The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
38Seon Wook Kim, Rudolf Eigenmann Compiling for Speculative Architectures. Search on Bibsonomy LCPC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
38Prabhakar Raghavan, Hadas Shachnai, Mira Yaniv Dynamic Schemes for Speculative Execution of Code. Search on Bibsonomy MASCOTS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
38Dirk Herrmann, Rolf Ernst Register synthesis for speculative computation. Search on Bibsonomy ED&TC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
38Dennis Lee 0001, Jean-Loup Baer, Brad Calder, Dirk Grunwald Instruction Cache Fetch Policies for Speculative Execution. Search on Bibsonomy ISCA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF C++
38Ulrich Holtmann, Rolf Ernst Experiments with low-level speculative computation based on multiple branch prediction. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
38Kevin B. Theobald, Guang R. Gao, Laurie J. Hendren Speculative Execution and Branch Prediction on Parallel Machines. Search on Bibsonomy International Conference on Supercomputing The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
38David Bernstein, Michael Rodeh, Shmuel Sagiv Proving Safety of Speculative Load Instructions at Compile Time. Search on Bibsonomy ESOP The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
38Adolfo Guzmán, Manuel V. Hermenegildo Constructs and evaluations strategies for intelligent speculative parallelism - armageddon revisited. Search on Bibsonomy ACM Conference on Computer Science The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
36Chen Tian 0002, Min Feng 0001, Rajiv Gupta 0001 Speculative parallelization using state separation and multiple value prediction. Search on Bibsonomy ISMM The full citation details ... 2010 DBLP  DOI  BibTeX  RDF multicore processors, speculative parallelization
36Chao Zhang, Chen Ding 0001, Xiaoming Gu, Kirk Kelsey, Tongxin Bai, Xiaobing Feng 0002 Continuous speculative program parallelization in software. Search on Bibsonomy PPoPP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF software speculative parallelization
36Haitham Akkary, Komal Jothi, Renjith Retnamma, Satyanarayana Nekkalapu, Doug Hall, Shahrokh Shahidzadeh On the potential of latency tolerant execution in speculative multithreading. Search on Bibsonomy IFMT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF latency-tolerant architectures, chip multiprocessors, speculative multithreading, many-core processors
36Theo Kluter, Philip Brisk, Paolo Ienne, Edoardo Charbon Speculative DMA for architecturally visible storage in instruction set extensions. Search on Bibsonomy CODES+ISSS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF architecturally visible storage, speculative direct memory access, instruction set extensions, application-specific processors
36Dmitrijs Zaparanuks, Milan Jovic, Matthias Hauswirth The potential of speculative class-loading. Search on Bibsonomy PPPJ The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Markov predictor, speculative class-loading
36Christopher J. F. Pickett Software speculative multithreading for Java. Search on Bibsonomy OOPSLA Companion The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Java, parallelism, virtual machines, thread level speculation, speculative multithreading
36Arun Kejariwal, Xinmin Tian, Wei Li 0015, Milind Girkar, Sergey Kozhukhov, Hideki Saito 0001, Utpal Banerjee, Alexandru Nicolau, Alexander V. Veidenbaum, Constantine D. Polychronopoulos On the performance potential of different types of speculative thread-level parallelism: The DL version of this paper includes corrections that were not made available in the printed proceedings. Search on Bibsonomy ICS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF DOALL loops, value dependence, performance evaluation, data dependence, speculative execution, control dependence
36Jeff Da Silva, J. Gregory Steffan A probabilistic pointer analysis for speculative optimizations. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF speculative optimization, dependence analysis, pointer analysis
36Jessica H. Tseng, Krste Asanovic A Speculative Control Scheme for an Energy-Efficient Banked Register Fil. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF speculative control, Low-power, superscalar, register file, simultaneous multithreading
36Gilles Pokam, Olivier Rochecouste, André Seznec, François Bodin Speculative software management of datapath-width for energy optimization. Search on Bibsonomy LCTES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF narrow-width regions, compiler, reconfigurable computing, speculative execution, energy management, clock-gating
36Takayuki Sato, Kazuhiko Ohno, Hiroshi Nakashima A Mechanism for Speculative Memory Accesses Following Synchronizing Operations. Search on Bibsonomy IPDPS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF synchronization, shared memory multiprocessors, speculative execution
36Nor Jaidi Tuah, Mohan Kumar, Svetha Venkatesh Performance modelling of speculative prefetching for compound requests in low bandwidth networks. Search on Bibsonomy WOWMOM The full citation details ... 2000 DBLP  DOI  BibTeX  RDF compound requests, speculative prefetching, caching, Web
36Venkata Krishnan, Josep Torrellas The Need for Fast Communication in Hardware-Based Speculative Chip Multiprocessors. Search on Bibsonomy IEEE PACT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF register communication, Chip-multiprocessor, speculative multithreading, data-dependence speculation
36Lucian Codrescu, D. Scott Wills On Dynamic Speculative Thread Partitioning and the MEM-Slicing Algorithm. Search on Bibsonomy IEEE PACT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Thread Partitioning, Multiscalar, Thread Speculation, Speculative Multithreading, Dynamic Partitioning
36Ellen E. Witte, Roger D. Chamberlain, Mark A. Franklin Parallel Simulated Annealing using Speculative Computation. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF problem independent algorithm, parallel simulated annealingalgorithm, serial decision sequence, task assignment problem, parallel algorithms, concurrency, simulated annealing, processors, hypercube multiprocessor, speculative computation
33María Jesús Garzarán, Milos Prvulovic, José María Llabería, Víctor Viñals, Lawrence Rauchwerger, Josep Torrellas Tradeoffs in Buffering Memory State for Thread-Level Speculation in Multiprocessors. Search on Bibsonomy HPCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
33John Greiner, Guy E. Blelloch A Provably Time-Efficient Parallel Implementation of Full Speculation. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF profiling semantics, threads, parallel languages, speculation, abstract machines
33Scott A. Mahlke, William Y. Chen, Wen-mei W. Hwu, B. Ramakrishna Rau, Michael S. Schlansker Sentinel Scheduling for VLIW and Superscalar Processors. (long version: TOCS 11(4): 376-408) Search on Bibsonomy ASPLOS The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
31Dongyoon Lee, Benjamin Wester, Kaushik Veeraraghavan, Satish Narayanasamy, Peter M. Chen, Jason Flinn Respec: efficient online multiprocessor replayvia speculation and external determinism. Search on Bibsonomy ASPLOS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF external determinism, speculative execution, replay
31Gianfranco Bilardi, Kattamuri Ekanadham, Pratap Pattnaik On approximating the ideal random access machine by physical machines. Search on Bibsonomy J. ACM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Physical constraints on machines, pipelined hierarchical memory, speculative processors
31Edmund B. Nightingale, Daniel Peek, Peter M. Chen, Jason Flinn Parallelizing security checks on commodity hardware. Search on Bibsonomy ASPLOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF security, performance, parallel, operating systems, speculative execution
31Li-Ling Chen, Youfeng Wu Aggressive Compiler Optimization and Parallelization with Thread-Level Speculation. Search on Bibsonomy ICPP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF high-performance architecture and region formation, compiler optimizations, speculative execution, thread-level parallelism
31Renju Thomas, Manoj Franklin Using Dataflow Based Contextfor Accurate Branch Prediction. Search on Bibsonomy HiPC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Data value based branch prediction, dataflow inherited branch history, speculative execution, dynamic branch prediction
31Anasua Bhowmik, Manoj Franklin Exploiting Data Value Prediction in Compiler Based Thread Formation. Search on Bibsonomy HiPC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF data value prediction, parallelization, profiling, data dependency, thread-level parallelism (TLP), speculative multithreading (SpMT)
31P. Krishna Reddy, Masaru Kitsuregawa Speculation Based Nested Locking Protocol to Increase the Concurrency of Nested Transactions. Search on Bibsonomy IDEAS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF speculation based nested locking protocol, inter-transaction concurrency, nested locking protocol, SNL protocol, sub-transaction, after-image, multiple executions, main memory resources, concurrency control, speculative executions, nested transactions, data object, concurrency control protocol
31Po-Yung Chang, Eric Hao, Tse-Yu Yeh, Yale N. Patt Branch classification: a new mechanism for improving branch predictor performance. Search on Bibsonomy MICRO The full citation details ... 1994 DBLP  DOI  BibTeX  RDF branch classification, speculative execution, superscalar, processor performance, branch predictor
30Arun Raman, Hanjun Kim 0001, Thomas R. Mason, Thomas B. Jablin, David I. August Speculative parallelization using software multi-threaded transactions. Search on Bibsonomy ASPLOS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF multi-threaded transactions, automatic parallelization, software transactional memory, thread-level speculation, loop-level parallelism, pipelined parallelism
30Hans Vandierendonck, André Seznec Fetch Gating Control through Speculative Instruction Window Weighting. Search on Bibsonomy Trans. High Perform. Embed. Archit. Compil. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
30Venkatesan Packirisamy, Antonia Zhai, Wei-Chung Hsu, Pen-Chung Yew, Tin-Fook Ngai Exploring speculative parallelism in SPEC2006. Search on Bibsonomy ISPASS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
30Youngmoon Choi, Earl E. Swartzlander Jr. Speculative Carry Generation With Prefix Adder. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
30Sejong Oh, Tag Gon Kim, Jeonghun Cho, Elaheh Bozorgzadeh Speculative Loop-Pipelining in Binary Translation for Hardware Acceleration. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
30Lars Gesellensetter, Sabine Glesner Interprocedural Speculative Optimization of Memory Accesses to Global Variables. Search on Bibsonomy Euro-Par The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
30Youngsik Kim, Nazanin Mansouri Automated formal verification of scheduling with speculative code motions. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF formal verification, high level synthesis, automated theorem-proving, speculation
30Paul Congdon, Matthew K. Farrens, Prasant Mohapatra Packet prediction for speculative cut-through switching. Search on Bibsonomy ANCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF cut-through, ethernet switching, packet prediction, speculation
30Diego R. Llanos Ferraris, David Orden, Belén Palop New Scheduling Strategies for Randomized Incremental Algorithms in the Context of Speculative Parallelization. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF geometrical problems and computations, scheduling and task partitioning, load balancing and task assignment, Parallelism and concurrency
30Neil Vachharajani, Ram Rangan, Easwaran Raman, Matthew J. Bridges, Guilherme Ottoni, David I. August Speculative Decoupled Software Pipelining. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Mikel Luján, Phyllis Gustafson, Michael Paleczny, Christopher A. Vick Speculative Parallelization - Eliminating the Overhead of Failure. Search on Bibsonomy HPCC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Yuan Liu, Hong An, Bo Liang, Li Wang An Online Profile Guided Optimization Approach for Speculative Parallel Threading. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2007 DBLP  DOI  BibTeX  RDF profile guided dynamic optimization, Thread-level parallelization
30Cristian Tapus, Jason Hickey A Theory of Nested Speculative Execution. Search on Bibsonomy COORDINATION The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Hans Vandierendonck, André Seznec Fetch Gating Control Through Speculative Instruction Window Weighting. Search on Bibsonomy HiPEAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Alex Gontmakher, Avi Mendelson, Assaf Schuster, Gregory Shklover Speculative synchronization and thread management for fine granularity threads. Search on Bibsonomy HPCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
30Roberto Cordone, Fabrizio Ferrandi, Marco D. Santambrogio, Gianluca Palermo, Donatella Sciuto Using speculative computation and parallelizing techniques to improve scheduling of control based designs. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
30Saisanthosh Balakrishnan, Gurindar S. Sohi Program Demultiplexing: Data-flow based Speculative Parallelization of Methods in Sequential Programs. Search on Bibsonomy ISCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
30Xiaoqi Yang 0003, Qilong Zheng, Guoliang Chen 0001, Zhen Yao Reverse Compilation for Speculative Parallel Threading. Search on Bibsonomy PDCAT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
30Feng Qian, Laurie J. Hendren A Study of Type Analysis for Speculative Method Inlining in a JIT Environment. Search on Bibsonomy CC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
30Yoshihiro Oyama, Koichi Onoue, Akinori Yonezawa Speculative Security Checks in Sandboxing Systems. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
30You-Jan Tsai, Jong-Jiann Shieh Speculative Issue Logic. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
30Diego R. Llanos Ferraris, David Orden, Belén Palop MESETA: A New Scheduling Strategy for Speculative Parallelization of Randomized Incremental Algorithms. Search on Bibsonomy ICPP Workshops The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
30Alberto de la Encina, Ismael Rodríguez, Fernando Rubio 0001 Testing Speculative Work in a Lazy/Eager Parallel Functional Language. Search on Bibsonomy LCPC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
30Martine Ceberio, Hiroshi Hosobe, Ken Satoh Speculative Constraint Processing with Iterative Revision for Disjunctive Answers. Search on Bibsonomy CLIMA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
30Antonia Zhai, Christopher B. Colohan, J. Gregory Steffan, Todd C. Mowry Compiler Optimization of Memory-Resident Value Communication Between Speculative Threads. Search on Bibsonomy CGO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
30Jaroslaw Forenc, Andrzej Jordan The Modified Speculative Method for the Transient States Analysis. Search on Bibsonomy PARELEC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
30Srikanth T. Srinivasan, Haitham Akkary, Tom Holman, Konrad Lai A Minimal Dual-Core Speculative Multi-Threading Architecture. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
30Marcelo H. Cintra, Diego R. Llanos Ferraris, Belén Palop Speculative Parallelization of a Randomized Incremental Convex Hull Algorithm. Search on Bibsonomy ICCSA (3) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
30Yasuhiko Kitamura, Takuya Murao Risk Management Methods for Speculative Actions. Search on Bibsonomy AAMAS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
30August Climent, Miquel Bertran, Francesc-Xavier Babot, Josep M. Muixi Performance Analysis of Speculative Concurrency Control Algorithms based on Wait Depth Limited for Distributed Database Systems. Search on Bibsonomy ISPDC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
30Michael K. Chen, Kunle Olukotun TEST: A Tracer for Extracting Speculative Thread. Search on Bibsonomy CGO The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
30Won Woo Ro, Jean-Luc Gaudiot Compiler Support for Dynamic Speculative Pre-Execution. Search on Bibsonomy Interaction between Compilers and Computer Architectures The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
30Hasyim Gautama, Arjan J. C. van Gemund Symbolic Performance Prediction of Speculative Parallel Programs. Search on Bibsonomy Euro-Par The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
30Peter Rundberg, Per Stenström Speculative Lock Reordering: Optimistic Out-of-Order Execution of Critical Sections. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
30Maurício L. Pilla, Amarildo T. da Costa, Felipe M. G. França, Bruce R. Childers, Mary Lou Soffa The Limits of Speculative Trace Reuse on Deeply Pipelined Processors. Search on Bibsonomy SBAC-PAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
30Perry H. Wang, Hong Wang 0003, Jamison D. Collins, Ed Grochowski, Ralph-Michael Kling, John Paul Shen Memory Latency-Tolerance Approaches for Itanium Processors: Out-of-Order Execution vs. Speculative Precomputation. Search on Bibsonomy HPCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
30Jaroslaw Forenc The Speculative Method of Transient State Analysis with a Variable Integration Step. Search on Bibsonomy PARELEC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
30Raksit Ashok, Saurabh Chheda, Csaba Andras Moritz Cool-Mem: combining statically speculative memory accessing with selective address translation for energy efficiency. Search on Bibsonomy ASPLOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
30Gurindar S. Sohi, Amir Roth Speculative Multithreaded Processors. Search on Bibsonomy Computer The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
30Ravi Rajwar, James R. Goodman Speculative lock elision: enabling highly concurrent multithreaded execution. Search on Bibsonomy MICRO The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
30Jamison D. Collins, Dean M. Tullsen, Hong Wang 0003, John Paul Shen Dynamic speculative precomputation. Search on Bibsonomy MICRO The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 2046 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license