The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for wiring with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1956-1973 (15) 1974-1978 (16) 1979-1981 (15) 1982-1985 (16) 1986-1987 (15) 1988-1989 (27) 1990 (17) 1991-1992 (24) 1993-1994 (27) 1995 (23) 1996 (20) 1997 (15) 1998 (57) 1999 (34) 2000 (41) 2001 (30) 2002 (41) 2003 (41) 2004 (43) 2005 (37) 2006 (63) 2007 (55) 2008 (40) 2009 (24) 2010 (16) 2011-2012 (20) 2013-2014 (30) 2015-2016 (25) 2017-2018 (24) 2019-2020 (23) 2021 (20) 2022 (20) 2023 (18) 2024 (6)
Publication types (Num. hits)
article(323) incollection(7) inproceedings(603) phdthesis(4) proceedings(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 553 occurrences of 391 keywords

Results
Found 938 publication records. Showing 938 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
18J. Balachandran, Steven Brebels, Geert Carchon, Walter De Raedt, Eric Beyne, Maarten Kuijk, Bart Nauwelaers Constant Impedance Scaling Paradigm for Scaling LC transmission lines. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Hongyu Chen, Chung-Kuan Cheng, Andrew B. Kahng, Ion I. Mandoiu, Qinke Wang, Bo Yao The Y architecture for on-chip interconnect: analysis and methodology. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Grzegorz Tosik, Zbigniew Lisik, Malgorzata Langer, Janusz Wozny Simulation of Parasitic Interconnect Capacitance for Present and Future ICs. Search on Bibsonomy International Conference on Computational Science (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18André DeHon Unifying mesh- and tree-based programmable interconnect. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Leon Jololian, Jean-Claude Ngatchou, Remzi Seker A component integration meta-framework using smart adapters. Search on Bibsonomy ISICT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Frederic Worm, Paolo Ienne, Patrick Thiran Soft self-synchronising codes for self-calibrating communication. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Jason Cong, Yiping Fan, Zhiru Zhang Architecture-level synthesis for automatic interconnect pipelining. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF interconnect pipelining, multi-cycle communication, scheduling, high-level synthesis, register binding
18Phillip Christie, José Pineda de Gyvez Prelayout interconnect yield prediction. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Malena R. Mesarina, Cyril Brignone, Tim Connors, Mehrban Jam, Geoff Lyon, Salil Pradhan, Bill Serra A Self-Configuring Sensing System for Data Centers. Search on Bibsonomy DSOM The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Eli Chiprout Early electrical wire projections and implications. Search on Bibsonomy SLIP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Chi-Hsiang Yeh Optimal Layout for Butterfly Networks in Multilayer VLSI. Search on Bibsonomy ICPP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18YongJoon Kim, DongSub Song, YongSeung Shin, Sunghoon Chun, Sungho Kang 0001 A New Maximal Diagnosis Algorithm for Bus-structured Systems. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Gabriel Leen, Donal Heffernan Expanding Automotive Electronic Systems. Search on Bibsonomy Computer The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Thomas Kutzschebauch, Leon Stok Layout Driven Decomposition with Congestion Consideration. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Harshit K. Shah, Pun H. Shiu, Brian Bell, Mamie Aldredge, Namarata Sopory, Jeff Davis Repeater insertion and wire sizing optimization for throughput-centric VLSI global interconnects. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Toshiyuki Okayasu, Masakatsu Suda, Kazuhiro Yamamoto CMOS Circuit Technology for Precise GHz Timing Generator. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Phillip Christie Rent exponent prediction methods. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Sek M. Chai, Tarek M. Taha, D. Scott Wills, James D. Meindl Heterogeneous architecture models for interconnect-motivated system design. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Christopher S. Helvig, Gabriel Robins, Alexander Zelikovsky New approximation algorithms for routing with multiport terminals. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Azeez J. Bhavnagarwala, Blanca Austin, Ashok Kapoor, James D. Meindl CMOS system-on-a-chip voltage scaling beyond 50nm. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Kia Bazargan, Abhishek Ranjan, Majid Sarrafzadeh Fast and accurate estimation of floorplans in logic/high-level synthesis. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Yao-Wen Chang, Yu-Tsang Chang An architecture-driven metric for simultaneous placement and global routing for FPGAs. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Atul Garg, Y. L. Le Coz, Hans J. Greub, R. B. Iverson, Robert F. Philhower, Pete M. Campbell, Cliff A. Maier, Sam A. Steidl, Matthew W. Ernest, Russell P. Kraft, Steven R. Carlough, J. W. Perry, Thomas W. Krawczyk Jr., John F. McDonald 0001 Accurate high-speed performance prediction for full differential current-mode logic: the effect of dielectric anisotropy. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Avinash K. Gautam, V. Visvanathan, S. K. Nandy 0001 Automatic Generation of Tree Multipliers Using Placement-Driven Netlists. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Li-Rong Zheng 0001, Hannu Tenhunen Noise Margin Constraints for Interconnectivity in Deep Submicron Low Power and Mixed-Signal VLSI Circuits. Search on Bibsonomy ARVLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Mixed-Signal VLSI, Interconnection, Crosstalk, Noise Margin
18Qian Wang, Sotirios G. Ziavras Powerful and Feasible Processor Interconnections With an Evaluation of Their Communications Capabilities. Search on Bibsonomy ISPAN The full citation details ... 1999 DBLP  DOI  BibTeX  RDF communication operations, parallel processing, Interconnection networks
18David J. Hathaway, Rafik R. Habra, Erich C. Schanzenbach, Sara J. Rothman Circuit Placement, Chip Optimization, and Wire Routing for IBM IC Technology. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
18So-Zen Yao, Nan-Chi Chou, Chung-Kuan Cheng, T. C. Hu A multi-probe approach for MCM substrate testing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
18Gabriele Saucier, Pierre Abouzeid Lexicographical expressions of Boolean functions with application to multilevel synthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
18Bin Zhu, Xinya Wu, Wenjun Zhuang, Wai-Kai Chen A new one-and-half layer channel routing algorithm based on assigning resources for CMOS gate array. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
18Carl Sechen, Alberto L. Sangiovanni-Vincentelli TimberWolf3.2: a new standard cell placement and global routing package. Search on Bibsonomy DAC The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
17Dongyoung Lee, Joonbum Bae Individually Addressable Multitouch Sensors Using a Sweep Signal for Minimal Wiring Complexity. Search on Bibsonomy IEEE Trans. Ind. Electron. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
17Stuart Boersma Elizebeth Smith Friedman's recovery of the wiring of two rotors from an enigma D machine. Search on Bibsonomy Cryptologia The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
17Nuchchada Kohpeisansukwattana, Natt Siriwattananon, Ekkapot Charoenwanit Developing a Mobile Game Application to Enhance Learning Experience in Programmable Logic Controller (PLC) Wiring beyond the Laboratory. Search on Bibsonomy Int. J. Interact. Mob. Technol. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
17Yong Zhou, Minan Tang, Weili Liu Cophase Traction Power Supply System Based on Improved MMC-STATCOM-Vv Wiring. Search on Bibsonomy IEEE Access The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
17Hongfei Xu, Yuanyu Zheng, Jeremy S. Liang A VR-based wiring learning platform for promoting practice experience of electrical engineering. Search on Bibsonomy Comput. Appl. Eng. Educ. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
17Jason Lo Quantifying analogy of concepts via ologs and wiring diagrams. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
17Jan de Muijnck-Hughes, Wim Vanderbauwhede Wiring Circuits Is Easy as {0, 1, ω}, or Is It... (Artifact). Search on Bibsonomy Dagstuhl Artifacts Ser. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Jiyoung Kim, Jin-Gyun Kim, Jongwoo Park 0002, Byung-Kil Han, Sanghyun Kim, Dong Il Park Dual-Arm Path-Planning Algorithm for Wiring Harness Assembly Using Redundantly Actuated Robotic Systems. Search on Bibsonomy IEEE Access The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Naoto Tomita, Seiichi Takamatsu, Toshihiro Itoh Fabrication of an E-Textile Bioelectrode Array With Screen-Printed Wiring and an Ionic Liquid Gel Toward Cutaneous Whole-Body Electromyography. Search on Bibsonomy IEEE Access The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Pho Van Nguyen, Wai Tuck Chow Wiring-Claw Gripper for Soft-Stable Picking up Multiple Objects. Search on Bibsonomy IEEE Robotics Autom. Lett. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Jungwook Suh, Wontae Choi Design and Verification of Parallelogram Mechanism With Geared Unit Rolling Joints for Reliable Wiring. Search on Bibsonomy IEEE Robotics Autom. Lett. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Kai Wang, Xiaoyu Xu, Yinping Zheng, Da Zhou, Shihui Guo, Yipeng Qin, Xiaohu Guo Computational Design of Wiring Layout on Tight Suits with Minimal Motion Resistance. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Xiaoping Zhou, Kaiyue Sun, Qian Wang, Jia Wang, Xiaoyuan Huang, Wei Zhou 0015 IEDW: A BIM-based indoor electric distribution wiring algorithm using graph theory and capacity-limited multiple traveling salesman problem solver. Search on Bibsonomy Adv. Eng. Informatics The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Atsushi Yamada, Tohru Tani Flexible ureteroscope capable of acute-angled and balanced omnidirectional bending based on soft and flexible porous tube and crossed control wiring. Search on Bibsonomy Medical Biol. Eng. Comput. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Seungdae Baek, Youngjin Park, Se-Bum Paik Species-specific wiring of cortical circuits for small-world networks in the primary visual cortex. Search on Bibsonomy PLoS Comput. Biol. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Nils Boysen, Dirk Briskorn, Stefan Schwerdfeger How to charge while driving: scheduling point-to-point deliveries of an electric vehicle under overhead wiring. Search on Bibsonomy J. Sched. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Kyoto Nozaki, Changjian Ying, Yuichiro Matsuura, Kimitoshi Yamazaki Manipulation Planning for Wiring Connector-Attached Cables Considering Linear Object's Deformability. Search on Bibsonomy Int. J. Autom. Technol. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Bare Luka Zagar, Alessio Caporali, Amadeusz Szymko, Piotr Kicki, Krzysztof Walas, Gianluca Palli, Alois C. Knoll Copy and Paste Augmentation for Deformable Wiring Harness Bags Segmentation. Search on Bibsonomy AIM The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Lucian Popa 0003, Camil Jichici, Tudor Andreica, Pal-Stefan Murvay, Bogdan Groza Impact of Wiring Characteristics on Voltage-based Fingerprinting in Controller Area Networks. Search on Bibsonomy SACI The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Jan de Muijnck-Hughes, Wim Vanderbauwhede Wiring Circuits Is Easy as {0, 1, ω}, or Is It... Search on Bibsonomy ECOOP The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Mingyi Huang, Yuguo Yu Wiring Cost Minimization: A Dominant Factor in the Evolution of Brain Networks across Five Species. Search on Bibsonomy CogSci The full citation details ... 2023 DBLP  BibTeX  RDF
17Kaiyuan Wang, Yun Yang, Ka Wai Eric Cheng Exploration of Four Coils Magnetically Coupled Resonant Inductive Power Transfer System with Efficiency Optimization Under Unsymmetrical Power Wiring Structure. Search on Bibsonomy IECON The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Chaoyue Zhao, Yida Liu, Zongxing Lu Wiring Simulation of Electric Control Cabinet Based on Industrial Robot. Search on Bibsonomy ICIRA (8) The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Kai Wang, Xiaoyu Xu, Yinping Zheng, Da Zhou, Shihui Guo, Yipeng Qin, Xiaohu Guo Computational Design of Wiring Layout on Tight Suits with Minimal Motion Resistance. Search on Bibsonomy SIGGRAPH Asia The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Minan Tang, Yong Zhou, Kai Liang, Xilin Liu, Xiaomin Tong Cophase Traction Power Supply System Based-MMC-Vv Wiring. Search on Bibsonomy IEEE Access The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Dongha Lee 0002, Hae-Jeong Park A populational connection distribution map for the whole brain white matter reveals ordered cortical wiring in the space of white matter. Search on Bibsonomy NeuroImage The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Tian Wang, Qiang Fang, Gongping Liu, Meng Chi, Yuanqi Luo, Jianming Shen TN-GTN: fault diagnosis of aircraft wiring network over edge computing. Search on Bibsonomy EURASIP J. Wirel. Commun. Netw. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Jing-Rong Li, Zhi-Jie Wang, Yi-Yu Wang, Qing-Hui Wang An adaptive force and posture control strategy for automated wiring terminal assembly. Search on Bibsonomy Int. J. Comput. Integr. Manuf. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Tarek Jabri, Jason N. MacLean Large-Scale Algorithmic Search Identifies Stiff and Sloppy Dimensions in Synaptic Architectures Consistent With Murine Neocortical Wiring. Search on Bibsonomy Neural Comput. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Xiaolin Hu 0001, Zhigang Zeng Bridging the Functional and Wiring Properties of V1 Neurons Through Sparse Coding. Search on Bibsonomy Neural Comput. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Shuhei Tamate, Yutaka Tabuchi, Yasunobu Nakamura Toward Realization of Scalable Packaging and Wiring for Large-Scale Superconducting Quantum Computers. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Aleksandra Nowak 0001, Romuald A. Janik Discovering Wiring Patterns Influencing Neural Network Performance. Search on Bibsonomy ECML/PKDD (3) The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Karima Azzaoui, Samia Yousfi, Mouna Latifa Bouamrani The Benefits of Combining Digitalization with Quality Tools: Application in the Field of Wiring Systems Manufacturing for the Automotive Industry. Search on Bibsonomy SADASC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Qiejun Dai, Zeyong Mao, Yong Wu, Rui Yao, Jingshi Chen, Lei Chen 0011 Intelligent Quality Inspection of Secondary Wiring based on Rotating Object Detection and Pairwise Matching Strategy. Search on Bibsonomy DSIT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Kevin Eder, Onur Tas, Jonas Neckenich, Roland Winter, Uwe Zielbauer, Kristin Paetzold Knowledge Reuse of CAD Data in Parallel Development of Multiple Wiring Harness Variants. Search on Bibsonomy PLM The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Van Pho Nguyen, Dhyan Sunil Bohra, Boon Siew Han, Wai Tuck Chow Towards Flexible Manipulation with Wiring-Base Robot Hand. Search on Bibsonomy RiTA The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Yina Yang, Chaoliang Wang, Jie Yin, Jing Ye, An Wen, Qiang Wang Wrong Wiring Detection of Electricity Meter Based on Image Processing. Search on Bibsonomy ICSAI The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Andrea Alessandrini How an Undergraduate Group of Design Students Solved Wiring Errors during the Prototyping of an Interactive Artifact. Search on Bibsonomy ECCE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Jinjin Li 0002, Fanghua Mo, Geli Jiang, Yiming Wu Wrong Wiring of Three-phase Three-wire Electric Energy Measurement Based on Phase-to-Phase Correlation Research on Remote Judgment Method. Search on Bibsonomy ICITEE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Jinjin Li 0002, Fanghua Mo, Wenqian Jiang, Jueyu Chen Analysis of Negative Current Caused by Three-phase Four-Wire Electric Energy Meter under Normal Wiring. Search on Bibsonomy ICITEE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Yusuke Matsuno, Masanori Takahashi, Ryuichi Sugizaki, Yoshihiro Arashitani Design of 19-Core Multicore Fibers for High Density Optical Wiring. Search on Bibsonomy OECC/PSC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Franziska Geiger, Martin Schrimpf, Tiago Marques, James J. DiCarlo Wiring Up Vision: Minimizing Supervised Synaptic Updates Needed to Produce a Primate Ventral Stream. Search on Bibsonomy ICLR The full citation details ... 2022 DBLP  BibTeX  RDF
17Jia-Heng Xu, Liansong Yu, Wei-Wei Yang, Xiao Rong, Wei Luo, Na Song, Huafeng Hu Intelligent Judgment Method of Superimposed Label Recognition Technology Based on a Deep Learning Target Detection Algorithm for Detecting Wiring Errors in Current Transformer Tests. Search on Bibsonomy CECNet The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Erich L. Kaltofen The GKR Protocol Revisited: Nearly Optimal Prover-Complexity for Polynomial-Time Wiring Algorithms and for Primality Testing in n1/2+o(1) Rounds. Search on Bibsonomy ISSAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Aram Mahmood Ahmed, Tarik A. Rashid, Soran Abubakir Mohammed Saeed Dynamic Cat Swarm Optimization algorithm for backboard wiring problem. Search on Bibsonomy Neural Comput. Appl. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Lucy Van Kleunen, Brian Muller, Stephen Voida "Wiring a City": A Sociotechnical Perspective on Deploying Urban Sensor Networks. Search on Bibsonomy Proc. ACM Hum. Comput. Interact. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Chenyu Wang, Kengo Iokibe, Yoshitaka Toyota Practical Design Methodology of Mode-Conversion-Free Tightly Coupled Asymmetrically Tapered Bend for High-Density Differential Wiring. Search on Bibsonomy IEICE Trans. Commun. The full citation details ... 2021 DBLP  BibTeX  RDF
17Zilu Ma, Wenyu Tu, Nanyin Zhang Increased wiring cost during development is driven by long-range cortical, but not subcortical connections. Search on Bibsonomy NeuroImage The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Nabil Imam Wiring up recurrent neural networks. Search on Bibsonomy Nat. Mach. Intell. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Aram Mahmood Ahmed, Tarik A. Rashid, Soran Saeed Dynamic Cat Swarm Optimization Algorithm for Backboard Wiring Problem. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
17Jana Koehler, Josef Bürgler, Urs Fontana, Etienne Fux, Florian A. Herzog, Marc Pouly, Sophia Saller, Anastasia Salyaeva, Peter Scheiblechner, Kai Waelti Cable tree wiring - benchmarking solvers on a real-world scheduling problem with a variety of precedence constraints. Search on Bibsonomy Constraints An Int. J. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Jan Niklas Haus, Martin Schwerter, Michael Schneider 0008, Marcel Gäding, Monika Leester-Schädel, Ulrich Schmid 0002, Andreas Dietzel Robust Pressure Sensor in SOI Technology with Butterfly Wiring for Airfoil Integration. Search on Bibsonomy Sensors The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Piotr Kicki, Michal Bednarek, Pawel Lembicz, Grzegorz Mierzwiak, Amadeusz Szymko, Marek Kraft, Krzysztof Walas Tell Me, What Do You See? - Interpretable Classification of Wiring Harness Branches with Deep Neural Networks. Search on Bibsonomy Sensors The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Ying Chen, Feng Mao, Jie Song 0011, Xinchao Wang, Huiqiong Wang, Mingli Song Self-born Wiring for Neural Trees. Search on Bibsonomy ICCV The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Kevin Galassi, Gianluca Palli Robotic Wires Manipulation for Switchgear Cabling and Wiring Harness Manufacturing. Search on Bibsonomy ICPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Kevin Eder, Onur Tas, Uwe Zielbauer, Kristin Paetzold A Knowledge Management Approach to Support Concurrent Engineering in Wiring Harness Development. Search on Bibsonomy PLM (2) The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Nour el houda Nouar, Sami Yangui, Noura Faci, Khalil Drira, Saïd Tazi 0001 Agile and Dynamic Virtualized Network Functions Wiring in Network Services. Search on Bibsonomy CLOUD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Jiadong Lou, Xu Yuan 0001, Ning Zhang 0017 Messy States of Wiring: Vulnerabilities in Emerging Personal Payment Systems. Search on Bibsonomy USENIX Security Symposium The full citation details ... 2021 DBLP  BibTeX  RDF
17Michael Mayrhofer, Christoph Mayr-Dorn, Ouijdane Guiza, Alexander Egyed Dynamically Wiring CPPS Software Architectures. Search on Bibsonomy ICIT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Yusuke Sasaki, Ryohei Fukumoto, Katsuhiro Takenaga, Shogo Shimizu, Kazuhiko Aikawa Optical Fiber Cable Employing 200 µm-Coated Multicore Fibers for High Density Wiring in Datacom. Search on Bibsonomy OFC The full citation details ... 2021 DBLP  BibTeX  RDF
17Fauzie Rachman, Zulkarnain Zulkarnain Inventory Estimation Model with Fuzzy Analytic Hierarchy Process and Neural Network Approaches in the Wiring industry. Search on Bibsonomy APCoRISE The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Kristy S. Yun, Charles Dischinger, Tanya C. Andrews Short Circuiting the Controller - Missteps in Maintenance and Inspection of Process and Wiring in STS-93. Search on Bibsonomy AHFE (4) The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Sirui Xie, Shoukang Hu, Xinjiang Wang, Chunxiao Liu, Jianping Shi, Xunying Liu, Dahua Lin Understanding the wiring evolution in differentiable neural architecture search. Search on Bibsonomy AISTATS The full citation details ... 2021 DBLP  BibTeX  RDF
17Quanying Liu, Christian Kurniawan, Chenxi Xu, Siddhant Jagtap, Xiyu Deng, Kexin Lou, Yong Sheng Soh, Yorie Nakahira Axon Arbor Trade-off Between Wiring Cost, Delay, and Synchronization in Neuronal Networks. Search on Bibsonomy CISS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Evan Patterson, David I. Spivak, Dmitry Vagner Wiring diagrams as normal forms for computing in symmetric monoidal categories. Search on Bibsonomy ACT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Sirui Xie, Shoukang Hu, Xinjiang Wang, Chunxiao Liu, Jianping Shi, Xunying Liu, Dahua Lin Understanding the wiring evolution in differentiable neural architecture search. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
17Jana Koehler, Josef Bürgler, Urs Fontana, Etienne Fux, Florian A. Herzog, Marc Pouly, Sophia Saller, Anastasia Salyaeva, Peter Scheiblechner, Kai Waelti Cable Tree Wiring - Benchmarking Solvers on a Real-World Scheduling Problem with a Variety of Precedence Constraints. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
17Andrzej Szajna, Roman Stryjski, Waldemar Wozniak, Norbert Chamier-Gliszczynski, Mariusz Kostrzewski Assessment of Augmented Reality in Manual Wiring Production Process with Use of Mobile AR Glasses. Search on Bibsonomy Sensors The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Gianluca Palli, Salvatore Pirozzi, Maurizio Indovini, Daniele De Gregorio, Riccardo Zanella, Claudio Melchiorri Automatized Switchgear Wiring: An Outline of the WIRES Experiment Results. Search on Bibsonomy ECHORD++ The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 938 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license