The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for x86 with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1988-1997 (17) 1998-1999 (16) 2000-2002 (31) 2003-2004 (31) 2005 (22) 2006 (34) 2007 (38) 2008 (34) 2009 (57) 2010 (45) 2011 (30) 2012 (30) 2013 (17) 2014 (15) 2015 (20) 2016 (16) 2017 (24) 2018 (17) 2019 (33) 2020 (26) 2021 (25) 2022 (23) 2023 (20) 2024 (4)
Publication types (Num. hits)
article(141) incollection(2) inproceedings(474) phdthesis(8)
Venues (Conferences, Journals, ...)
CoRR(34) PLDI(18) ISSCC(14) CGO(11) MICRO(10) IEEE Micro(9) USENIX Security Symposium(9) HPCA(8) ISPASS(8) SC(8) CC(7) CCS(7) ICCD(7) IEEE Trans. Parallel Distribut...(7) IPDPS(7) ISCA(7) More (+10 of total 307)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 321 occurrences of 243 keywords

Results
Found 625 publication records. Showing 625 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
22Christopher W. Fraser, Todd A. Proebsting Finite-Static Code Generation. Search on Bibsonomy PLDI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
22David Ung, Cristina Cifuentes SRL 3/4-A Simple Retargetable Loader. Search on Bibsonomy Australian Software Engineering Conference The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
22Pat Conway, Nathan Kalyanasundharam, Gregg Donley, Kevin Lepak, Bill Hughes Cache Hierarchy and Memory Subsystem of the AMD Opteron Processor. Search on Bibsonomy IEEE Micro The full citation details ... 2010 DBLP  DOI  BibTeX  RDF x86-64, cache directory, probe filter, system interconnect, HyperTransport3 technology, power envelopes, cache, multiprocessor, memory hierarchy, processor, blade server
22Jeffrey Wilhelm, Tzi-cker Chiueh A Forced Sampled Execution Approach to Kernel Rootkit Identification. Search on Bibsonomy RAID The full citation details ... 2007 DBLP  DOI  BibTeX  RDF X86 ISA emulation, dynamic malware analysis, intrusion prevention, Bayes classifier, rootkit detection
18Yuki Naganawa, Hirokazu Kamei, Yamato Kanetaka, Haruki Nogami, Yoshihiro Maeda, Norishige Fukushima SIMD-Constrained Lookup Table for Accelerating Variable-Weighted Convolution on x86/64 CPUs. Search on Bibsonomy IEEE Access The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
18Evann Regnault, Bérenger Bramas SPC5: An efficient SpMV framework vectorized using ARM SVE and x86 AVX-512. Search on Bibsonomy Comput. Sci. Inf. Syst. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
18Sergio Calderón, Enzo Rucci, Franco Chichizola Enhanced OpenMP Algorithm to Compute All-Pairs Shortest Path on x86 Architectures. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
18Ibrahim Farhat, Ibrahim Khadraoui, Wassim Hamidouche, Mohit K. Sharma Energy Cost of Coding Omnidirectional Videos using ARM and x86 Platforms. Search on Bibsonomy GMSys@MMSys The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
18Hongwei Chen, Yujia Zhai, Joshua J. Turner, Adrian E. Feiguin A high-performance implementation of atomistic spin dynamics simulations on x86 CPUs. Search on Bibsonomy Comput. Phys. Commun. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Animesh Kuity, Sateesh Kumar Peddoju Investigating performance metrics for container-based HPC environments using x86 and OpenPOWER systems. Search on Bibsonomy J. Cloud Comput. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Yingchen Wang, Riccardo Paccagnella, Elizabeth Tang He, Hovav Shacham, Christopher W. Fletcher, David Kohlbrenner Hertzbleed: Turning Power Side-Channel Attacks Into Remote Timing Attacks on x86. Search on Bibsonomy IEEE Micro The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Guang Wang 0005, Ziyuan Zhu, Xu Cheng 0001, Dan Meng A High-Coverage and Efficient Instruction-Level Testing Approach for x86 Processors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Yujia Zhai, Elisabeth Giem, Kai Zhao 0008, Jinyang Liu, Jiajun Huang, Bryan M. Wong, Christian R. Shelton, Zizhong Chen FT-BLAS: A Fault Tolerant High Performance BLAS Implementation on x86 CPUs. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Shixun Wu, Yujia Zhai, Jiajun Huang, Zizhe Jian, Zizhong Chen FT-GEMM: A Fault Tolerant High Performance GEMM Implementation on x86 CPUs. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Evann Regnault, Bérenger Bramas SPC5: an efficient SpMV framework vectorized using ARM SVE and x86 AVX-512. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Isha Chaudhary, Alex Renda, Charith Mendis, Gagandeep Singh CoMEt: x86 Cost Model Explanation Framework. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Mark Ermolov, Dmitry Sklyarov, Maxim Goryachy Undocumented x86 instructions to control the CPU at the microarchitecture level in modern Intel processors. Search on Bibsonomy J. Comput. Virol. Hacking Tech. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Yeming Gu, Hui Shu, Fei Kang BinAIV: Semantic-enhanced vulnerability detection for Linux x86 binaries. Search on Bibsonomy Comput. Secur. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Hojoon Lee 0001, Chihyun Song, Brent ByungHoon Kang Harnessing the x86 Intermediate Rings for Intra-Process Isolation. Search on Bibsonomy IEEE Trans. Dependable Secur. Comput. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Javier Jareño, Juan Carlos de la Torre, Bernabé Dorronsoro Characterization and Categorization of Software Programs on X86 Architectures. Search on Bibsonomy OLA The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Thomas Dangl, Stewart Sentanoe, Hans P. Reiser Retrofitting AMD x86 Processors with Active Virtual Machine Introspection Capabilities. Search on Bibsonomy ARCS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Zhibo Liu, Yuanyuan Yuan, Shuai Wang 0011, Xiaofei Xie, Lei Ma 0003 Decompiling x86 Deep Neural Network Executables. Search on Bibsonomy USENIX Security Symposium The full citation details ... 2023 DBLP  BibTeX  RDF
18Daniel Engel, Freek Verbeek, Binoy Ravindran BIRD: A Binary Intermediate Representation for Formally Verified Decompilation of X86-64 Binaries. Search on Bibsonomy TAP The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Benjamin Munger, Kathy Wilcox, Jeshuah Sniderman, Chuck Tung, Brett Johnson, Russell Schreiber, Carson Henrion, Kevin Gillespie, Tom Burd, Harry R. Fair III, Dave Johnson 0002, Jonathan White, Scott McLelland, Steven Bakke, Javin Olson, Ryan McCracken, Matthew Pickett, Aaron Horiuchi, Hien Nguyen, Tim Jackson "Zen 4": The AMD 5nm 5.7GHz x86-64 Microprocessor Core. Search on Bibsonomy ISSCC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Donatien Koulla Moulla, Abdel Aziz Kitikil, Ernest Mnkandla, Hassan Soubra, Alain Abran Functional Size Measurement for X86 Assembly Programs. Search on Bibsonomy IWSM-Mensura The full citation details ... 2023 DBLP  BibTeX  RDF
18Yuanding Zhou Least Information Redundancy Algorithm of Printable Shellcode Encoding for X86. Search on Bibsonomy ESORICS Workshops (2) The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Shixun Wu, Yujia Zhai, Jiajun Huang, Zizhe Jian, Zizhong Chen FT-GEMM: A Fault Tolerant High Performance GEMM Implementation on x86 CPUs. Search on Bibsonomy HPDC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Xinghan Chen, Ling-Hong Hung, Robert Cordingly, Wes Lloyd X86 vs. ARM64: An Investigation of Factors Influencing Serverless Performance. Search on Bibsonomy WOSC@Middleware The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Vasilios I. Kelefouras, Georgios Keramidas Design and Implementation of 2D Convolution on x86/x64 Processors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Changwei Zou, Yaoqing Gao, Jingling Xue Practical Software-Based Shadow Stacks on x86-64. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Eleni Vafeiadi Bila, Brijesh Dongol, Ori Lahav 0001, Azalea Raad, John Wickerson View-Based Owicki-Gries Reasoning for Persistent x86-TSO (Extended Version). Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  BibTeX  RDF
18Lukas Bernhard, Michael Rodler, Thorsten Holz, Lucas Davi xTag: Mitigating Use-After-Free Vulnerabilities via Software-Based Pointer Tagging on Intel x86-64. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Md. Vasimuddin, Ramanarayan Mohanty, Sanchit Misra, Sasikanth Avancha DistGNN-MB: Distributed Large-Scale Graph Neural Network Training on x86 via Minibatch Sampling. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Zhibo Liu, Yuanyuan Yuan, Shuai Wang 0011, Xiaofei Xie, Lei Ma 0003 Decompiling x86 Deep Neural Network Executables. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Pemma Reiter, Hui Jun Tay, Westley Weimer, Adam Doupé, Ruoyu Wang 0001, Stephanie Forrest Automatically Mitigating Vulnerabilities in x86 Binary Programs via Partially Recompilable Decompilation. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  BibTeX  RDF
18Jyun-Kai Lai, Wuu Yang Hyperchaining for LLVM-Based Binary Translators on the x86-64 Platform. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Hyunyoung Oh, Dongil Hwang, Maja Malenko, Myunghyun Cho, Hyungon Moon, Marcel Baunach, Yunheung Paek XTENSTORE: Fast Shielded In-memory Key-Value Store on a Hybrid x86-FPGA System. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Rohit Singh, K. P. Arun 0002, Debadatta Mishra LDT: Lightweight Dirty Tracking of Memory Pages for x86 Systems. Search on Bibsonomy HIPC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Eleni Vafeiadi Bila, Brijesh Dongol, Ori Lahav 0001, Azalea Raad, John Wickerson View-Based Owicki-Gries Reasoning for Persistent x86-TSO. Search on Bibsonomy ESOP The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Eric Schulte, Michael D. Brown, Vlad Folts A Broad Comparative Evaluation of x86-64 Binary Rewriters. Search on Bibsonomy CSET @ USENIX Security Symposium The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Yingchen Wang, Riccardo Paccagnella, Elizabeth Tang He, Hovav Shacham, Christopher W. Fletcher, David Kohlbrenner Hertzbleed: Turning Power Side-Channel Attacks Into Remote Timing Attacks on x86. Search on Bibsonomy USENIX Security Symposium The full citation details ... 2022 DBLP  BibTeX  RDF
18Justin Wang, Dennis Brylow, Debbie Perouli Reversing Our Ways from x86 VM Configurations onto ARM-Based Raspberry Pis. Search on Bibsonomy SIGCSE (2) The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Lukas Bernhard, Michael Rodler, Thorsten Holz, Lucas Davi xTag: Mitigating Use-After-Free Vulnerabilities via Software-Based Pointer Tagging on Intel x86-64. Search on Bibsonomy EuroS&P The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Freek Verbeek, Joshua A. Bockenek, Zhoulai Fu, Binoy Ravindran Formally verified lifting of C-compiled x86-64 binaries. Search on Bibsonomy PLDI The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18John J. Wuu, Rahul Agarwal, Michael Ciraula, Carl Dietz, Brett Johnson, Dave Johnson 0002, Russell Schreiber, Raja Swaminathan, Will Walker, Samuel Naffziger 3D V-Cache: the Implementation of a Hybrid-Bonded 64MB Stacked Cache for a 7nm x86-64 CPU. Search on Bibsonomy ISSCC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Thomas Burd, Wilson Li, James Pistole, Srividhya Venkataraman, Michael McCabe, Timothy Johnson, James Vinh, Thomas Yiu, Mark Wasio, Hon-Hin Wong, Daryl Lieu, Jonathan White, Benjamin Munger, Joshua Lindner, Javin Olson, Steven Bakke, Jeshuah Sniderman, Carson Henrion, Russell Schreiber, Eric Busta, Brett Johnson, Tim Jackson, Aron Miller, Ryan Miller, Matthew Pickett, Aaron Horiuchi, Josef Dvorak, Sabeesh Balagangadharan, Sajeesh Ammikkallingal, Pankaj Kumar Zen3: The AMD 2nd-Generation 7nm x86-64 Microprocessor Core. Search on Bibsonomy ISSCC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Chaorun Liu, Huayou Su, Yong Dou, Qinglin Wang Optimize DGL Operations on x86-64 Multi-Core Processors. Search on Bibsonomy HP3C The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Guang Wang 0005, Ziyuan Zhu, Xu Cheng 0001, Dan Meng In-depth Testing of x86 Instruction Disassemblers with Feedback Controlled DFS Algorithm. Search on Bibsonomy ICCD The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Danielle Lambion, Robert Schmitz, Robert Cordingly, Navid Heydari, Wes Lloyd Characterizing X86 and ARM Serverless Performance Variation: A Natural Language Processing Case Study. Search on Bibsonomy ICPE (Companion) The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18S. Vignesh Bharadwaj, Chetan Kumar Vudadha Evaluation of x86 and ARM architectures using compute-intensive workloads. Search on Bibsonomy iSES The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Artem Khyzha, Ori Lahav 0001 Taming x86-TSO persistency. Search on Bibsonomy Proc. ACM Program. Lang. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Parosh Aziz Abdulla, Mohamed Faouzi Atig, Ahmed Bouajjani, K. Narayan Kumar, Prakash Saivasan Deciding reachability under persistent x86-TSO. Search on Bibsonomy Proc. ACM Program. Lang. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Stijn Schildermans, Jianchen Shan, Kris Aerts, Jason Jackrel, Xiaoning Ding Virtualization Overhead of Multithreading in X86 State-of-the-Art & Remaining Challenges. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Nikolaos Mavrogeorgis Simplifying heterogeneous migration between x86 and ARM machines. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
18Ruobing Han, Jaewon Lee, Jaewoong Sim, Hyesoon Kim COX: CUDA on X86 by Exposing Warp-Level Functions to CPUs. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
18Roland Mathá, Dragi Kimovski, Anatoliy Zabrovskiy, Christian Timmerer, Radu Prodan Where to Encode: A Performance Analysis of x86 and Arm-based Amazon EC2 Instances. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
18Jordi Armengol-Estapé, Michael F. P. O'Boyle Learning C to x86 Translation: An Experiment in Neural Compilation. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
18Guille D. Canas Faster-Than-Native Alternatives for x86 VP2INTERSECT Instructions. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
18Youngjoo Shin DCUIP Poisoning Attack in Intel x86 Processors. Search on Bibsonomy IEICE Trans. Inf. Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Freek Verbeek, Abhijith Bharadwaj, Joshua A. Bockenek, Ian Roessle, Timmy Weerwag, Binoy Ravindran X86 instruction semantics and basic block symbolic execution. Search on Bibsonomy Arch. Formal Proofs The full citation details ... 2021 DBLP  BibTeX  RDF
18Roland Mathá, Dragi Kimovski, Anatoliy Zabrovskiy, Christian Timmerer, Radu Prodan Where to Encode: A Performance Analysis of x86 and Arm-based Amazon EC2 Instances. Search on Bibsonomy e-Science The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Joonsung Kim, Hamin Jang, Hunjun Lee, Seungho Lee, Jangwoo Kim UC-Check: Characterizing Micro-operation Caches in x86 Processors and Implications in Security and Performance. Search on Bibsonomy MICRO The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Venkat Sri Sai Ram, Ashish Panwar, Arkaprava Basu Trident: Harnessing Architectural Resources for All Page Sizes in x86 Processors. Search on Bibsonomy MICRO The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Dong Xie, Yang Hu, Li Qin An Evaluation of Serverless Computing on X86 and ARM platforms: Performance and Design Implications. Search on Bibsonomy CLOUD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Blake W. Ford, Apan Qasem, Jelena Tesic, Ziliang Zong Migrating Software from x86 to ARM Architecture: An Instruction Prediction Approach. Search on Bibsonomy NAS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Kyeongmin Cho, Sung-Hwan Lee 0001, Azalea Raad, Jeehoon Kang Revamping hardware persistency models: view-based and axiomatic persistency models for Intel-x86 and Armv8. Search on Bibsonomy PLDI The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Jyun-Kai Lai, Wuu Yang Hyperchaining Optimizations for an LLVM-Based Binary Translator on x86-64 and RISC-V Platforms. Search on Bibsonomy ICPP Workshops The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Charles Augustine, A. Afzal, U. Misgar, Abdullah A. Owahid, A. Raman, K. Subramanian, Feroze Merchant, James W. Tschanz, Muhammad M. Khellah All-Digital Closed-Loop Unified Retention/Wake-Up Clamp in a 10nm 4-Core x86 IP. Search on Bibsonomy VLSI Circuits The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Jiatong Wu, Baojiang Cui, Chen Chen 0061, Xiang Long A High Efficiency and Accuracy Method for x86 Undocumented Instruction Detection and Classification. Search on Bibsonomy IMIS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Guang Wang 0005, Ziyuan Zhu, Shuan Li, Xu Cheng 0001, Dan Meng Differential Testing of x86 Instruction Decoders with Instruction Operand Inferring Algorithm. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Sarp Özdemir, Rutvik Saptarshi, Aravind Prakash, Dmitry Ponomarev 0001 Track Conventions, Not Attack Signatures: Fortifying X86 ABI and System Call Interfaces to Mitigate Code Reuse Attacks. Search on Bibsonomy SEED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Chengbin Pang, Ruotong Yu, Yaohui Chen, Eric Koskinen, Georgios Portokalidis, Bing Mao, Jun Xu 0024 SoK: All You Ever Wanted to Know About x86/x64 Binary Disassembly But Were Afraid to Ask. Search on Bibsonomy SP The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18William Woodruff, Niki Carroll, Sebastiaan Peters Differential analysis of x86-64 instruction decoders. Search on Bibsonomy SP (Workshops) The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Moritz Lipp, Andreas Kogler, David F. Oswald, Michael Schwarz 0001, Catherine Easdon, Claudio Canella, Daniel Gruss PLATYPUS: Software-based Power Side-Channel Attacks on x86. Search on Bibsonomy SP The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Sameer Pawanekar, Geetanjali Udgirkar Performance of Reinforcement Learning Simulation: x86 v/s ARM. Search on Bibsonomy ICACDS (1) The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Azalea Raad, Ori Lahav 0001, Viktor Vafeiadis Persistent Owicki-Gries reasoning: a program logic for reasoning about persistent programs on Intel-x86. Search on Bibsonomy Proc. ACM Program. Lang. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
18Azalea Raad, John Wickerson, Gil Neiger, Viktor Vafeiadis Persistency semantics of the Intel-x86 architecture. Search on Bibsonomy Proc. ACM Program. Lang. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
18Yuhei Otsubo, Akira Otsuka, Mamoru Mimura, Takeshi Sakaki o-glasses: Visualizing X86 Code From Binary Using a 1D-CNN. Search on Bibsonomy IEEE Access The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
18Zhihao Li, Haipeng Jia, Yunquan Zhang, Tun Chen, Liang Yuan, Richard W. Vuduc Automatic Generation of High-Performance FFT Kernels on Arm and X86 CPUs. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
18Panos K. Koutsovasilis, Konstantinos Parasyris, Christos D. Antonopoulos, Nikolaos Bellas, Spyros Lalis Dynamic Undervolting to Improve Energy Efficiency on Multicore X86 CPUs. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
18Conor Pirry, Hector Marco-Gisbert, Carolyn Begg A Review of Memory Errors Exploitation in x86-64. Search on Bibsonomy Comput. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
18Artem Khyzha, Ori Lahav 0001 Taming x86-TSO Persistency (Extended Version). Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
18Sasikanth Avancha, Md. Vasimuddin, Sanchit Misra, Ramanarayan Mohanty Deep Graph Library Optimizations for Intel(R) x86 Architecture. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
18Chengbin Pang, Ruotong Yu, Yaohui Chen, Eric Koskinen, Georgios Portokalidis, Bing Mao, Jun Xu 0024 SoK: All You Ever Wanted to Know About x86/x64 Binary Disassembly But Were Afraid to Ask. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
18Juan M. Cebrian, Adrián Barredo, Helena Caminal, Miquel Moretó, Marc Casas, Mateo Valero Semi-automatic validation of cycle-accurate simulation infrastructures: The case for gem5-x86. Search on Bibsonomy Future Gener. Comput. Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
18Bertrand Le Gal, Christophe Jégo High-Throughput FFT-SPA Decoder Implementation for Non-Binary LDPC Codes on x86 Multicore Processors. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
18Jagadish B. Kotra, John Kalamatianos Improving the Utilization of Micro-operation Caches in x86 Processors. Search on Bibsonomy MICRO The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
18Georgios Georgis, Alexios Thanos, Marcin Filo, Konstantinos Nikitopoulos A DSP Acceleration Framework For Software-Defined Radios On X86 64. Search on Bibsonomy ICASSP The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
18Dhrumil Patel, Aditya Basu, Anish Mathuria Automatic Generation of Compact Printable Shellcodes for x86. Search on Bibsonomy WOOT @ USENIX Security Symposium The full citation details ... 2020 DBLP  BibTeX  RDF
18David Schrammel, Samuel Weiser, Stefan Steinegger, Martin Schwarzl, Michael Schwarz 0001, Stefan Mangard, Daniel Gruss Donky: Domain Keys - Efficient In-Process Isolation for RISC-V and x86. Search on Bibsonomy USENIX Security Symposium The full citation details ... 2020 DBLP  BibTeX  RDF
18Zijo Kenjar, Tommaso Frassetto, David Gens, Michael Franz, Ahmad-Reza Sadeghi V0LTpwn: Attacking x86 Processor Integrity from Software. Search on Bibsonomy USENIX Security Symposium The full citation details ... 2020 DBLP  BibTeX  RDF
18Antara Ganguly, Shankar Balachandran, Anant V. Nori, Virendra Singh, Sreenivas Subramoney Characterization of Data Generating Neural Network Applications on x86 CPU Architecture. Search on Bibsonomy ISPASS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
18Andreas Abel 0002, Jan Reineke 0001 nanoBench: A Low-Overhead Tool for Running Microbenchmarks on x86 Systems. Search on Bibsonomy ISPASS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
18Nikos Mouzakitis, Michele Paolino, Miltos D. Grammatikakis, Daniel Raho x86 System Management Mode (SMM) Evaluation for Mixed Critical Systems. Search on Bibsonomy ApplePies The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
18Teja Singh, Sundar Rangarajan, Deepesh John, Russell Schreiber, Spence Oliver, Rajit Seahra, Alex Schaefer 2.1 Zen 2: The AMD 7nm Energy-Efficient High-Performance x86-64 Microprocessor Core. Search on Bibsonomy ISSCC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
18Xuewei Feng, Dongxia Wang 0001, Zhechao Lin, Xiaohui Kuang, Gang Zhao Enhancing Randomization Entropy of x86-64 Code while Preserving Semantic Consistency. Search on Bibsonomy TrustCom The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
18Ciprian Paduraru, Bogdan Ghimis, Alin Stefanescu RiverConc: An Open-source Concolic Execution Engine for x86 Binaries. Search on Bibsonomy ICSOFT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
18José Bacelar Almeida, Manuel Barbosa, Gilles Barthe, Vincent Laporte, Tiago Oliveira 0004 Certified Compilation for Cryptography: Extended x86 Instructions and Constant-Time Verification. Search on Bibsonomy INDOCRYPT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
18Shilpi Goel, Anna Slobodová, Rob Sumners, Sol Swords Verifying x86 instruction implementations. Search on Bibsonomy CPP The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
18G. Glenn Henry, Parviz Palangpour, Michael Thomson, J. Scott Gardner, Bryce Arden, Jim Donahue, Kimble Houck, Jonathan Johnson, Kyle O'Brien, Scott Petersen, Benjamin Seroussi, Tyler Walker High-Performance Deep-Learning Coprocessor Integrated into x86 SoC with Server-Class CPUs Industrial Product. Search on Bibsonomy ISCA The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 625 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license