|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 4621 occurrences of 2215 keywords
|
|
|
Results
Found 5283 publication records. Showing 5283 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
19 | Jacob Leverich, Hideho Arakida, Alex Solomatnikov, Amin Firoozshahian, Mark Horowitz, Christos Kozyrakis |
Comparative evaluation of memory models for chip multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Archit. Code Optim. ![In: ACM Trans. Archit. Code Optim. 5(3), pp. 12:1-12:30, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
streaming memory, parallel programming, Chip multiprocessors, cache coherence, locality optimizations |
19 | Shammi Didla, Aaron Ault, Saurabh Bagchi |
Optimizing AES for embedded devices and wireless sensor networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
TRIDENTCOM ![In: 4th International Conference on Testbeds & Research Infrastructures for the DEvelopment of NeTworks & COMmunities (TRIDENTCOM 2008), March 18-20, 2008, Innsbruck, Austria, pp. 4, 2008, ICST, 978-963-9799-24-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
CC2420, Zigbee security, embedded optimizations, secure sensor networks, encryption, AES, MSP430 |
19 | Subhajit Roy 0001, Y. N. Srikant |
Partial Flow Sensitivity. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HiPC ![In: High Performance Computing - HiPC 2007, 14th International Conference, Goa, India, December 18-21, 2007, Proceedings, pp. 245-256, 2007, Springer, 978-3-540-77219-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
compilers, compiler optimizations, dataflow analysis, points-to analysis |
19 | Paul Lokuciejewski, Heiko Falk, Martin Schwarzer, Peter Marwedel, Henrik Theiling |
Influence of procedure cloning on WCET prediction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CODES+ISSS ![In: Proceedings of the 5th International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2007, Salzburg, Austria, September 30 - October 3, 2007, pp. 137-142, 2007, ACM, 978-1-59593-824-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
WCET minimization, context-sensitive WCET-analysis, compiler optimizations |
19 | Yao-Qun Xu, Jia-hai Zhang, Ming Sun 0003 |
Morlet Wavelet Chaotic Neural Network with Chaotic Noise. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIC (3) ![In: Advanced Intelligent Computing Theories and Applications. With Aspects of Contemporary Intelligent Computing Techniques, Third International Conference on Intelligent Computing, ICIC 2007, Qingdao, China, August 21-24, 2007. Proceedings, pp. 1275-1285, 2007, Springer, 978-3-540-74281-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Chaotic noise, Function optimizations, Chaotic neural network |
19 | Tatiana Shpeisman, Vijay Menon 0002, Ali-Reza Adl-Tabatabai, Steven Balensiefer, Dan Grossman, Richard L. Hudson, Katherine F. Moore, Bratin Saha |
Enforcing isolation and ordering in STM. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the ACM SIGPLAN 2007 Conference on Programming Language Design and Implementation, San Diego, California, USA, June 10-13, 2007, pp. 78-88, 2007, ACM, 978-1-59593-633-2. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
weak atomicity, virtual machines, code generation, transactional memory, compiler optimizations, isolation, ordering, escape analysis, strong atomicity |
19 | Jacob Leverich, Hideho Arakida, Alex Solomatnikov, Amin Firoozshahian, Mark Horowitz, Christos Kozyrakis |
Comparing memory systems for chip multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 34th International Symposium on Computer Architecture (ISCA 2007), June 9-13, 2007, San Diego, California, USA, pp. 358-368, 2007, ACM, 978-1-59593-706-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
streaming memory, parallel programming, chip multiprocessors, locality optimizations, coherent caches |
19 | Youfeng Wu, Yong-Fong Lee |
Hardware-Software Collaborative Techniques for Runtime Profiling and Phase Transition Detection. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Comput. Sci. Technol. ![In: J. Comput. Sci. Technol. 20(5), pp. 665-675, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
runtime profiling, phase transition detection, hardware-software collaboration, dynamic optimizations |
19 | Ivan Matosevic, Tarek S. Abdelrahman, Faraydon Karim, Alain Mellan |
Power Optimization for the MLCA Using Dynamic Voltage Scaling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SCOPES ![In: Proceedings of the 9th International Workshop on Software and Compilers for Embedded Systems, Dallas, Texas, USA, September 29 - October 1, 2005, pp. 109-123, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
compiler techniques for power, parallel-embedded systems, dynamic voltage scaling, multimedia applications, power optimizations |
19 | Joon-Sang Park, Michael Penner, Viktor K. Prasanna |
Optimizing Graph Algorithms for Improved Cache Performance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 15(9), pp. 769-782, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
Cache-friendly algorithms, shortest path, graph algorithms, minimum spanning trees, graph matching, algorithm performance, cache-oblivious algorithms, data layout optimizations |
19 | Wei Zhang 0002, Jie S. Hu, Vijay Degalahal, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin |
Reducing instruction cache energy consumption using a compiler-based strategy. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Archit. Code Optim. ![In: ACM Trans. Archit. Code Optim. 1(1), pp. 3-33, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
compiler optimizations, Leakage power, cache design |
19 | Sathyanarayanan Thammanur, Santosh Pande |
A fast, memory-efficient register allocation framework for embedded systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Program. Lang. Syst. ![In: ACM Trans. Program. Lang. Syst. 26(6), pp. 938-974, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
embedded systems, compilers, Code generation, compiler optimizations, register allocation, dynamic compilation |
19 | Pablo Rodriguez 0001, Sarit Mukherjee, Sampath Rangarajan |
Session level techniques for improving web browsing performance on wireless links. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WWW ![In: Proceedings of the 13th international conference on World Wide Web, WWW 2004, New York, NY, USA, May 17-20, 2004, pp. 121-130, 2004, ACM, 1-58113-844-X. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
optimizations, web, wireless |
19 | Jeff H. Perkins, Michael D. Ernst |
Efficient incremental algorithms for dynamic detection of likely invariants. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGSOFT FSE ![In: Proceedings of the 12th ACM SIGSOFT International Symposium on Foundations of Software Engineering, 2004, Newport Beach, CA, USA, October 31 - November 6, 2004, pp. 23-32, 2004, ACM, 1-58113-855-5. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
batch algorithm, reversing optimizations, incremental algorithm, dynamic invariant detection |
19 | Basilio B. Fraguela, Ramon Doallo, Emilio L. Zapata |
Probabilistic Miss Equations: Evaluating Memory Hierarchy Performance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 52(3), pp. 321-336, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
probabilistic miss estimation, Analytical modeling, performance prediction, memory hierarchy, compiler optimizations |
19 | Rainer Keller, Edgar Gabriel, Bettina Krammer, Matthias S. Müller, Michael M. Resch |
Towards Efficient Execution of MPI Applications on the Grid: Porting and Optimization Issues. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Grid Comput. ![In: J. Grid Comput. 1(2), pp. 133-149, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
optimizations for communication hierarchies, MPI, computational Grids, metacomputing, parallel debugging |
19 | Alexander Kleymenov, Alexander Semenov |
Using a Cooperative Solving Approach to Global Optimization Problems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
COCOS ![In: Global Optimization and Constraint Satisfaction, Second International Workshop, COCOS 2003, Lausanne, Switzerland, November 18-21, 2003, Revised Selected Papers, pp. 86-100, 2003, Springer, 3-540-26003-X. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
cooperative solvers, interval mathematics, interval constraint programming, distributive computations, global optimizations |
19 | Vitaly V. Mikheev, Stanislav A. Fedoseev, Vladimir V. Sukharev, Nikita V. Lipsky |
Effective Enhancement of Loop Versioning in Java. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CC ![In: Compiler Construction, 11th International Conference, CC 2002, Held as Part of the Joint European Conferences on Theory and Practice of Software, ETAPS 2002, Grenoble, France, April 8-12, 2002, Proceedings, pp. 293-306, 2002, Springer, 3-540-43369-4. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
ahead-of-time compilation, Java, performance, loop optimizations |
19 | Vitaly V. Mikheev, Nikita V. Lipsky, D. Gurchenkov, P. Pavlov, Vladimir V. Sukharev, A. Markov, Sergey V. Kuksenko, Stanislav A. Fedoseev, D. Leskov, A. Yeryomin |
Overview of excelsior JET, a high performance alternative to java virtual machines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Workshop on Software and Performance ![In: Third International Workshop on Software and Performance, WOSP@ISSTA 2002, July 24-26, 2002, Rome, Italy., pp. 104-113, 2002, ACM, 1-58113-563-7. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
ahead-of-time optimizations, scalable run-time system, java, performance |
19 | Thomas Fahringer, Bernhard Scholz |
A Unified Symbolic Evaluation Framework for Parallelizing Compilers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 11(11), pp. 1105-1125, 2000. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
program context, data-flow and control-flow analysis, symbolic dependence testing, compiler optimizations, parallelizing compilers, parallel systems, Symbolic analysis, symbolic evaluation |
19 | Yolanda Villate, Evaggelia Pitoura, Arantza Illarramendi, Ahmed K. Elmagarmid |
Extending the Data Services of Mobile Computers by External Data Lockers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DEXA Workshops ![In: 11th International Workshop on Database and Expert Systems Applications (DEXA'00), 6-8 September 2000, Greenwich, London, UK, pp. 160-164, 2000, IEEE Computer Society, 0-7695-0680-1. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
external data lockers, dedicated disk space, fixed network, battery power savings, mobile computer capabilities, mobile computing, mobile computers, mobile devices, mobile agents, wireless communications, data protection, communication optimizations, mobile users, data services, storage capacity, middleware architecture |
19 | Ricardo C. Farias, Joseph S. B. Mitchell, Cláudio T. Silva, Brian N. Wylie |
Time-Critical Rendering of Irregular Grids. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIBGRAPI ![In: 13th Brazilian Symposium on Computer Graphics and Image Processing (SIBGRAPI 2000), 17-20 October 2000, Gramado (RS), Brazil, pp. 243-250, 2000, IEEE Computer Society, 0-7695-0878-2. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
time-critical rendering, irregular grid data sets, fastest algorithms, computer hardware, very large data set analysis, time budget, medium-sized data sets, image accuracy, optimizations, triangulation, simplification, rendering (computer graphics), hierarchical representations, frame rates, approximation methods, real time analysis, data sets, image generation, irregular grids, rendering techniques, rendering algorithms, object space |
19 | Mahmut T. Kandemir, Alok N. Choudhary, U. Nagaraj Shenoy, Prithviraj Banerjee, J. Ramanujam |
A Linear Algebra Framework for Automatic Determination of Optimal Data Layouts. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 10(2), pp. 115-135, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
array restructuring, parallelism, Data reuse, spatial locality, memory performance, locality optimizations |
19 | Gagan Agrawal |
Interprocedural Partial Redundancy Elimination With Application to Distributed Memory Compilation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 9(7), pp. 609-625, 1998. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
Distributed memory compilation, communication optimizations, interprocedural analysis, Partial Redundancy Elimination, irregular applications |
19 | Mahmut T. Kandemir, Alok N. Choudhary, J. Ramanujam, Prithviraj Banerjee |
A Matrix-Based Approach to the Global Locality Optimization Problem. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE PACT ![In: Proceedings of the 1998 International Conference on Parallel Architectures and Compilation Techniques, Paris, France, October 12-18, 1998, pp. 306-313, 1998, IEEE Computer Society, 0-8186-8591-3. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
optimizing for locality, global (whole program) optimization, combined unified loop and data transformations, loop transformations, data layout optimizations |
19 | Allen Leung, Krishna V. Palem, Amir Pnueli |
A Fast Algorithm for Scheduling Time-Constrained Instructions on Processors with ILP. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE PACT ![In: Proceedings of the 1998 International Conference on Parallel Architectures and Compilation Techniques, Paris, France, October 12-18, 1998, pp. 158-, 1998, IEEE Computer Society, 0-8186-8591-3. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
Compiler-optimizations, instruction level parallelism, instruction scheduling, embedded applications |
19 | Luciano Baresi, Manuele Di Paola, Antonio Gargiulo, Mauro Pezzè |
LEMMA: a language for easy medical models analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CBMS ![In: 10th IEEE Symposium on Computer-Based Medical Systems (CBMS '97), 11-13 June 1997, Maribor, Slovenia, pp. 244-249, 1997, IEEE Computer Society, 0-8186-7928-X. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
LEMMA language, medical model analysis, health care process optimization, high quality standards, patient treatment, diagnostic processes, therapeutic processes, informal notation, Petri nets, prototype, visual languages, graphical language, resource optimizations, health care systems, formal notation |
19 | Alberto Broggi |
The Abingdon Cross Benchmark on the Two PaPrICA Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDP ![In: 4th Euromicro Workshop on Parallel and Distributed Processing (PDP '96), January 24-26, 1996, Portugal, pp. 526-533, 1996, IEEE Computer Society, 0-8186-7376-1. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
Abingdon Cross Benchmark, PAPRICA systems, architectural solutions, hardware characteristics, ad-hoc optimizations, noncommercial systems, performance evaluation, VLSI, parallel architectures, optimisation, quality control, efficient algorithm, quality factor, computational paradigm |
19 | Michal Cierniak, Wei Li 0015, Mohammed Javeed Zaki |
Loop Scheduling for Heterogeneity. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPDC ![In: Proceedings of the 4th International Symposium on High Performance Distributed Computing (HPDC '95), Washington, DC, USA, August 2-4, 1995., pp. 78-85, 1995, IEEE Computer Society, 0-8186-7088-6. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
scheduling, load balancing, parallel programming, parallel programming, resource allocation, optimisation, heterogeneity, communication optimizations, loop scheduling, network contention, compile-time, compiler algorithms |
19 | David J. Lilja, Pen-Chung Yew |
Improving Memory Utilization in Cache Coherence Directories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 4(10), pp. 1130-1146, 1993. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
memory utilization, cache coherence directories, large-scale shared memorymultiprocessors, software-directed schemes, compile-time memory disambiguation, dynamically tagged directory, high-level sharing information, configurationmanagement, discrete event simulation, compiler optimizations, program compilers, shared memory systems, trace-driven simulations, buffer storage, storage allocation, interprocedural analysis |
19 | Gerald M. Karam |
Comments on "Measurement of Ada Overhead in OSI-Style Communications Systems''. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 16(12), pp. 1435-1439, 1990. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
Ada overhead, OSI-style communications systems, comparison method, coordination required, multiple entities, lower layer, performance efficiency, optimizations, protocol, protocols, Ada, standards, programming, open systems, control flow, computer communications software |
19 | Douglas R. Smith |
KIDS: A Semiautomatic Program Development System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 16(9), pp. 1024-1043, 1990. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
KIDS, semiautomatic program development system, Kestrel Interactive Development System, deductive inference, finite differencing optimizations, data type refinement, k-queens problem, SUN-4/110, software engineering, formal specifications, compilation, optimisation, partial evaluation, inference mechanisms, algorithm design, program simplification |
19 | Jean-Loup Baer, Yi-Bing Lin |
Improving Quicksort Performance with a Codewort Data Structure. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 15(5), pp. 622-631, 1989. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
codeword data structure, long, alphanumeric sequences, bytes, codeword generator, character count, first nonequal byte, quicksort algorithm, architecture-dependent parameters, in-line expansion, data structures, caches, sorting, compiler optimizations, register allocation, performance improvement, ordering, pointer, records, keys, swaps, write policies |
17 | Todd A. Anderson 0001 |
Optimizations in a private nursery-based garbage collector. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISMM ![In: Proceedings of the 9th International Symposium on Memory Management, ISMM 2010, Toronto, Ontario, Canada, June 5-6, 2010, pp. 21-30, 2010, ACM, 978-1-4503-0054-4. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
garbage collection, functional languages |
17 | Arun Chauhan 0001, Chun-Yu Shei |
Static reuse distances for locality-based optimizations in MATLAB. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 24th International Conference on Supercomputing, 2010, Tsukuba, Ibaraki, Japan, June 2-4, 2010, pp. 295-304, 2010, ACM, 978-1-4503-0018-6. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
compilers, locality, memory hierarchy, MATLAB |
17 | Yi Zhu 0002, Yuanfang Hu, Michael B. Taylor, Chung-Kuan Cheng |
Energy and switch area optimizations for FPGA global routing architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 14(1), pp. 13:1-13:25, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
FPGA, low power, global routing |
17 | Hugh Leather, Michael F. P. O'Boyle, Bruce Worton |
Raced profiles: efficient selection of competing compiler optimizations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCTES ![In: Proceedings of the 2009 ACM SIGPLAN/SIGBED conference on Languages, compilers, and tools for embedded systems, LCTES 2009, Dublin, Ireland, June 19-20, 2009, pp. 50-59, 2009, ACM, 978-1-60558-356-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
statistics, iterative compilation |
17 | Elena Machkasova, Kevin Arhelger, Fernando Trinciante |
The observer effect of profiling on dynamic Java optimizations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OOPSLA Companion ![In: Companion to the 24th Annual ACM SIGPLAN Conference on Object-Oriented Programming, Systems, Languages, and Applications, OOPSLA 2009, October 25-29, 2009, Orlando, Florida, USA, pp. 757-758, 2009, ACM, 978-1-60558-768-4. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
hprof, Java, profiler, JVM, HotSpot, inlining, dead code elimination |
17 | Jason Cong, Karthik Gururaj, Bin Liu 0006, Chunyue Liu, Yi Zou, Zhiru Zhang, Sheng Zhou |
Revisiting bitwidth optimizations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPGA ![In: Proceedings of the ACM/SIGDA 17th International Symposium on Field Programmable Gate Arrays, FPGA 2009, Monterey, California, USA, February 22-24, 2009, pp. 278, 2009, ACM, 978-1-60558-410-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
fixed-poin, fpga, arithmetic, bitwidth |
17 | Alexandru Nicolau, Guangqiang Li, Alexander V. Veidenbaum, Arun Kejariwal |
Synchronization optimizations for efficient execution on multi-cores. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009, pp. 169-180, 2009, ACM, 978-1-60558-498-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
compilers, synchronization, multithreading, code motion |
17 | David K. Tam, Reza Azimi, Livio Soares, Michael Stumm |
RapidMRC: approximating L2 miss rate curves on commodity systems for online optimizations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: Proceedings of the 14th International Conference on Architectural Support for Programming Languages and Operating Systems, ASPLOS 2009, Washington, DC, USA, March 7-11, 2009, pp. 121-132, 2009, ACM, 978-1-60558-406-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
miss rate curve, performance monitoring unit, shared cache management, chip multiprocessor, dynamic optimization, multicore processor, shared cache, cache management, cache partitioning, hardware performance counters, online optimization |
17 | Robert C. Kirby, Anders Logg |
Benchmarking Domain-Specific Compiler Optimizations for Variational Forms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Math. Softw. ![In: ACM Trans. Math. Softw. 35(2), pp. 10:1-10:18, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
FErari, FFC, complexity-reducing relations, variational form, optimization, compiler, Finite element method |
17 | Curt Schurgers, Anantha P. Chandrakasan |
Traceback-Based Optimizations for Maximum a Posteriori Decoding Algorithms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Signal Process. Syst. ![In: J. Signal Process. Syst. 53(3), pp. 231-241, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
very-large-scale integration, error correction coding, MAP estimation |
17 | Paul Lokuciejewski, Heiko Falk, Peter Marwedel |
WCET-driven Cache-based Procedure Positioning Optimizations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECRTS ![In: 20th Euromicro Conference on Real-Time Systems, ECRTS 2008, 2-4 July 2008, Prague, Czech Republic, Proceedings, pp. 321-330, 2008, IEEE Computer Society, 978-0-7695-3298-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
17 | Yang Li 0002, Li Guo 0001 |
TCM-KNN scheme for network anomaly detection using feature-based optimizations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 2008 ACM Symposium on Applied Computing (SAC), Fortaleza, Ceara, Brazil, March 16-20, 2008, pp. 2103-2109, 2008, ACM, 978-1-59593-753-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
TCM-KNN algorithm, feature selection, network security, anomaly detection, feature weight |
17 | Sharareh Zamanzadeh, Mohammad Mirza-Aghatabar, Mehrdad Najibi, Hossein Pedram, Abolghasem Sadeghi |
Reimbursing the Handshake Overhead of Asynchronous Circuits using Compiler Pre-Synthesis Optimizations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DSD ![In: 11th Euromicro Conference on Digital System Design: Architectures, Methods and Tools, DSD 2008, Parma, Italy, September 3-5, 2008, pp. 290-297, 2008, IEEE Computer Society, 978-0-7695-3277-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
17 | Robert A. van Engelen, Wei Zhang 0020 |
An Overview and Evaluation of Web Services Security Performance Optimizations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICWS ![In: 2008 IEEE International Conference on Web Services (ICWS 2008), September 23-26, 2008, Beijing, China, pp. 137-144, 2008, IEEE Computer Society, 978-0-7695-3310-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
17 | Costin Iancu, Wei Chen 0011, Katherine A. Yelick |
Performance portable optimizations for loops containing communication operations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 22nd Annual International Conference on Supercomputing, ICS 2008, Island of Kos, Greece, June 7-12, 2008, pp. 266-276, 2008, ACM, 978-1-60558-158-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
communication, parallel programming, program transformations, code generation, latency hiding, performance portability |
17 | Elizeu Santos-Neto, Samer Al-Kiswany, Nazareno Andrade, Sathish Gopalakrishnan, Matei Ripeanu |
enabling cross-layer optimizations in storage systems with custom metadata. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPDC ![In: Proceedings of the 17th International Symposium on High-Performance Distributed Computing (HPDC-17 2008), 23-27 June 2008, Boston, MA, USA, pp. 213-216, 2008, ACM, 978-1-59593-997-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
custom metadata, cross-layer optimization, distributed storage systems |
17 | In-Ho Moon |
Compositional verification of retiming and sequential optimizations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 45th Design Automation Conference, DAC 2008, Anaheim, CA, USA, June 8-13, 2008, pp. 131-136, 2008, ACM, 978-1-60558-115-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
conditional equivalence, retime offset, sequential equivalence, retiming, compositional verification |
17 | Jason Zebchuk, Andreas Moshovos |
A Building Block for Coarse-Grain Optimizations in the On-Chip Memory Hierarchy. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Comput. Archit. Lett. ![In: IEEE Comput. Archit. Lett. 6(2), pp. 33-36, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
17 | Costin Iancu, Wei Chen 0011, Katherine A. Yelick |
Performance Portable Optimizations for Loops Containing Communication Operations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PACT ![In: 16th International Conference on Parallel Architectures and Compilation Techniques (PACT 2007), Brasov, Romania, September 15-19, 2007, pp. 411, 2007, IEEE Computer Society, 0-7695-2944-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
17 | Alex Wun, Hans-Arno Jacobsen |
Modelling performance optimizations for content-based publish/subscribe. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DEBS ![In: Proceedings of the 2007 Inaugural International Conference on Distributed Event-Based Systems, DEBS 2007, Toronto, Ontario, Canada, June 20-22, 2007, pp. 171-179, 2007, ACM, 978-1-59593-665-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
performance analysis, publish/subscribe |
17 | Jens Voigt, Kai Pannhorst |
Optimizations on Scheduling Strategies for Enhanced Uplink on WCDMA. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTC Spring ![In: Proceedings of the 65th IEEE Vehicular Technology Conference, VTC Spring 2007, 22-25 April 2007, Dublin, Ireland, pp. 1172-1176, 2007, IEEE. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
17 | Mohamed Aissani, Mustapha Réda Senouci, Walid Demigha, Abdelhamid Mellouk |
Optimizations and Performance Study of the Dynamic Source Routing Protocol. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICNS ![In: Third International Conference on Networking and Services (ICNS 2007), June 19-25, 2007, Athens, Greece, pp. 107, 2007, IEEE Computer Society, 978-0-7695-2858-8. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
17 | Yvonne Hitchcock, Colin Boyd, Juan Manuel González Nieto |
Modular proofs for key exchange: rigorous optimizations in the Canetti-Krawczyk model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Appl. Algebra Eng. Commun. Comput. ![In: Appl. Algebra Eng. Commun. Comput. 16(6), pp. 405-438, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Canetti, Krawczyk model, Security proofs, Key exchange protocols |
17 | Manish Verma, Lars Wehmeyer, Robert Pyka, Peter Marwedel, Luca Benini |
Compilation and Simulation Tool Chain for Memory Aware Energy Optimizations . ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAMOS ![In: Embedded Computer Systems: Architectures, Modeling, and Simulation, 6th International Workshop, SAMOS 2006, Samos, Greece, July 17-20, 2006, Proceedings, pp. 279-288, 2006, Springer, 3-540-36410-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
17 | Caaliph Andriamisaina, Bertrand Le Gal, Emmanuel Casseau |
Bit-Width Optimizations for High-Level Synthesis of Digital Signal Processing Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SiPS ![In: Proceedings of the IEEE Workshop on Signal Processing Systems, SiPS 2006, Proceedings, October 2-4, 2006, Banff, Alberta, Canada, pp. 280-285, 2006, IEEE, 1-4244-0382-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
17 | Jack Liu, Youfeng Wu |
Performance Characterization of the 64-bit x86 Architecture from Compiler Optimizations' Perspective. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CC ![In: Compiler Construction, 15th International Conference, CC 2006, Held as Part of the Joint European Conferences on Theory and Practice of Software, ETAPS 2006, Vienna, Austria, March 30-31, 2006, Proceedings, pp. 155-169, 2006, Springer, 3-540-33050-X. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
17 | Hiroshi Yamauchi, Jan Vitek |
Combining Offline and Online Optimizations: Register Allocation and Method Inlining. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APLAS ![In: Programming Languages and Systems, 4th Asian Symposium, APLAS 2006, Sydney, Australia, November 8-10, 2006, Proceedings, pp. 307-322, 2006, Springer, 3-540-48937-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
17 | Mark R. Fahey |
Poster reception - Portable performance optimizations based on a performance history of the fusion microturbulence code GYRO. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SC ![In: Proceedings of the ACM/IEEE SC2006 Conference on High Performance Networking and Computing, November 11-17, 2006, Tampa, FL, USA, pp. 189, 2006, ACM Press, 0-7695-2700-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
17 | Aditya Kanade, Amitabha Sanyal, Uday P. Khedker |
A PVS Based Framework for Validating Compiler Optimizations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SEFM ![In: Fourth IEEE International Conference on Software Engineering and Formal Methods (SEFM 2006), 11-15 September 2006, Pune, India, pp. 108-117, 2006, IEEE Computer Society, 0-7695-2678-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
17 | Hajime Nobuhara, Witold Pedrycz, Kaoru Hirota |
Relational image compression: optimizations through the design of fuzzy coders and YUV color space. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Soft Comput. ![In: Soft Comput. 9(6), pp. 471-479, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Overlap level of fuzzy sets, Image compression, Fuzzy equalization, Fuzzy relational equations |
17 | Han Chen, Kai Li 0001, Bin Wei |
Memory Performance Optimizations For Real-Time Software HDTV Decoding. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. VLSI Signal Process. ![In: J. VLSI Signal Process. 41(2), pp. 193-207, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
CPI, concurrency, cache, locality, prefetching, motion compensation, MPEG-2, decompression |
17 | Jorge Campos, Hussain Al-Asaad |
Search-Space Optimizations for High-Level ATPG. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MTV ![In: Sixth International Workshop on Microprocessor Test and Verification (MTV 2005), Common Challenges and Solutions, 3-4 November 2005, Austin, Texas, USA, pp. 84-89, 2005, IEEE Computer Society, 0-7695-2627-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
17 | Shoaib Kamil 0001, Parry Husbands, Leonid Oliker, John Shalf, Katherine A. Yelick |
Impact of modern memory subsystems on cache optimizations for stencil computations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Memory System Performance ![In: Proceedings of the 2005 workshop on Memory System Performance, Chicago, Illinois, USA, June 12, 2005, pp. 36-43, 2005, ACM, 1-59593-147-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
performance modeling, prefetch, stencil, cache blocking |
17 | Chunling Hu, Daniel A. Jiménez, Ulrich Kremer |
Toward an Evaluation Infrastructure for Power and Energy Optimizations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 19th International Parallel and Distributed Processing Symposium (IPDPS 2005), CD-ROM / Abstracts Proceedings, 4-8 April 2005, Denver, CO, USA, 2005, IEEE Computer Society, 0-7695-2312-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
17 | Mehrdad Reshadi, Prabhat Mishra 0001 |
Memory access optimizations in instruction-set simulators. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CODES+ISSS ![In: Proceedings of the 3rd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2005, Jersey City, NJ, USA, September 19-21, 2005, pp. 237-242, 2005, ACM, 1-59593-161-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
memory address-space mapping, instruction-set simulator |
17 | Zhenjiang Hu, Tetsuo Yokoyama, Masato Takeichi |
Program Optimizations and Transformations in Calculation Form. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GTTSE ![In: Generative and Transformational Techniques in Software Engineering, International Summer School, GTTSE 2005, Braga, Portugal, July 4-8, 2005. Revised Papers, pp. 144-168, 2005, Springer, 3-540-45778-X. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Program Transformation, Functional Programming, Program Optimization, Meta Programming, Program Calculation |
17 | Tom Vander Aa, Francky Catthoor, Henk Corporaal, Geert Deconinck |
Combining Data and Instruction Memory Energy Optimizations for Embedded Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ESTIMedia ![In: Proceedings of the 2005 3rd Workshop on Embedded Systems for Real-Time Multimedia, ESTIMedia 2005, September 22-23, 2005, New York Metropolitan Area, USA, pp. 121-126, 2005, IEEE Computer Society, 0-7803-9347-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
17 | Mahmut T. Kandemir, Seung Woo Son 0001, Guangyu Chen |
An evaluation of code and data optimizations in the context of disk power reduction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005, San Diego, California, USA, August 8-10, 2005, pp. 209-214, 2005, ACM, 1-59593-137-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
data optimization, compiler, code optimization, disk |
17 | Swarup Kumar Sahoo, Sriram Krishnamoorthy, Rajkiran Panuganti, P. Sadayappan |
Integrated Loop Optimizations for Data Locality Enhancement of Tensor Contraction Expressions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SC ![In: Proceedings of the ACM/IEEE SC2005 Conference on High Performance Networking and Computing, November 12-18, 2005, Seattle, WA, USA, CD-Rom, pp. 13, 2005, IEEE Computer Society, 1-59593-061-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
17 | Sandro Bartolini, Cosimo Antonio Prete |
A proposal for input-sensitivity analysis of profile-driven optimizations on embedded applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGARCH Comput. Archit. News ![In: SIGARCH Comput. Archit. News 32(3), pp. 70-77, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
17 | Ismail Kadayif, Mahmut T. Kandemir |
Quasidynamic Layout Optimizations for Improving Data Locality. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 15(11), pp. 996-1011, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
array-intensive computations, dynamic optimization, Optimizing compilers, data locality |
17 | Jin Lin, Tong Chen 0010, Wei-Chung Hsu, Pen-Chung Yew, Roy Dz-Ching Ju, Tin-Fook Ngai, Sun Chan |
A compiler framework for speculative optimizations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Archit. Code Optim. ![In: ACM Trans. Archit. Code Optim. 1(3), pp. 247-271, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
speculative SSA form, speculative weak update, partial redundancy elimination, Data speculation, register promotion |
17 | Josef Weidendorfer, Carsten Trinitis |
Cache Optimizations for Iterative Numerical Codes Aware of Hardware Prefetching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PARA ![In: Applied Parallel Computing, State of the Art in Scientific Computing, 7th International Workshop, PARA 2004, Lyngby, Denmark, June 20-23, 2004, Revised Selected Papers, pp. 921-927, 2004, Springer, 3-540-29067-2. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
17 | Ronggang Qi, Zifeng Li, Qing Ma |
DSP Structure Optimizations - A Multirate Signal Flow Graph Approach. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISNN (2) ![In: Advances in Neural Networks - ISNN 2004, International Symposium on Neural Networks, Dalian, China, August 19-21, 2004, Proceedings, Part II, pp. 1007-1012, 2004, Springer, 3-540-22843-8. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
17 | Jianqi Tang, Binxing Fang, Mingzeng Hu, Hongli Zhang 0001 |
The Practice of I/O Optimizations for Out-of-Core Computation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDCAT ![In: Parallel and Distributed Computing: Applications and Technologies, 5th International Conference, PDCAT 2004, Singapore, December 8-10, 2004, Proceedings, pp. 81-85, 2004, Springer, 3-540-24013-6. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
17 | Prabhakar Kudva, Andrew Sullivan, William E. Dougherty |
Measurements for structural logic synthesis optimizations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 22(6), pp. 665-674, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
17 | Somnath Ghosh, Abhay Kanhere, Rakesh Krishnaiyer, Dattatraya Kulkarni, Wei Li 0015, Chu-Cheow Lim, John Ng |
Integrating High-Level Optimizations in a Production Compiler: Design and Implementation Experience. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CC ![In: Compiler Construction, 12th International Conference, CC 2003, Held as Part of the Joint European Conferences on Theory and Practice of Software, ETAPS 2003, Warsaw, Poland, April 7-11, 2003, Proceedings, pp. 303-319, 2003, Springer, 3-540-00904-3. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
17 | Sencun Zhu, Sanjeev Setia, Sushil Jajodia |
Performance Optimizations for Group Key Management Scheme. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDCS ![In: 23rd International Conference on Distributed Computing Systems (ICDCS 2003), 19-22 May 2003, Providence, RI, USA, pp. 163-, 2003, IEEE Computer Society, 0-7695-1920-2. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
17 | Dieter Kranzlmüller, Gerhard Kurka, Paul Heinzlreiter, Jens Volkert |
Optimizations in the Grid Visualization Kernel. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 16th International Parallel and Distributed Processing Symposium (IPDPS 2002), 15-19 April 2002, Fort Lauderdale, FL, USA, CD-ROM/Abstracts Proceedings, 2002, IEEE Computer Society, 0-7695-1573-8. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
17 | Dhananjay Kulkarni, Walid A. Najjar, Robert Rinker, Fadi J. Kurdahi |
Fast Area Estimation to Support Compiler Optimizations in FPGA-Based Reconfigurable Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FCCM ![In: 10th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2002), 22-24 April 2002, Napa, CA, USA, Proceedings, pp. 239-, 2002, IEEE Computer Society, 0-7695-1801-X. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
17 | Raya Leviathan, Amir Pnueli |
Validating software pipelining optimizations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CASES ![In: Proceedings of the International Conference on Compilers, Architectures and Synthesis for Embedded Systems, CASES 2002, Greenoble, France, October 8-11, 2002, pp. 280-287, 2002, ACM, 1-58113-575-0. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
optimization, verification, compilers, pipeline processors, translation validation |
17 | Markus Kowarschik, Ulrich Rüde, Christian Weiß 0001 |
Data Layout Optimizations for Variable Coefficient Multigrid. ![Search on Bibsonomy](Pics/bibsonomy.png) |
International Conference on Computational Science (3) ![In: Computational Science - ICCS 2002, International Conference, Amsterdam, The Netherlands, April 21-24, 2002. Proceedings, Part III, pp. 642-651, 2002, Springer, 3-540-43594-8. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
17 | Eddie Kohler, Robert Tappan Morris, Benjie Chen |
Programming language optimizations for modular router configurations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: Proceedings of the 10th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS-X), San Jose, California, USA, October 5-9, 2002., pp. 251-263, 2002, ACM Press, 1-58113-574-2. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
17 | Glenn Reinman, Brad Calder, Todd M. Austin |
Optimizations Enabled by a Decoupled Front-End Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 50(4), pp. 338-355, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
fetch architectures, branch prediction, Decoupled architectures, instruction prefetching |
17 | Toshinori Sato, Akihiko Hamano, Kiichi Sugitani, Itsujiro Arita |
Influence of Compiler Optimizations on Value Prediction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCN ![In: High-Performance Computing and Networking, 9th International Conference, HPCN Europe 2001, Amsterdam, The Netherlands, June 25-27, 2001, Proceedings, pp. 312-321, 2001, Springer, 3-540-42293-5. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
optimization level, high-performance compilers, instruction level parallelism, value prediction, data speculation |
17 | Bhaskaran Raman, Pravin Bhagwat, Srinivasan Seshan |
Arguments for Cross-Layer Optimizations in Bluetooth Scatternets. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAINT ![In: 2001 Symposium on Applications and the Internet (SAINT 2001), 8-12 January 2001, San Diego, CA, USA, Proceedings, pp. 176-, 2001, IEEE Computer Society, 0-7695-0942-8. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
17 | Jim Grundy |
Verified Optimizations for the Intel IA-64 Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
TPHOLs ![In: Theorem Proving in Higher Order Logics, 13th International Conference, TPHOLs 2000, Portland, Oregon, USA, August 14-18, 2000, Proceedings, pp. 215-232, 2000, Springer, 3-540-67863-8. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
17 | Beidi Hamma |
An Object Oriented Framework for Parallel Multiple Optimizations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PARA ![In: Applied Parallel Computing, New Paradigms for HPC in Industry and Academia, 5th International Workshop, PARA 2000 Bergen, Norway, June 18-20, 2000 Proceedings, pp. 258-267, 2000, Springer, 3-540-41729-X. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
HPCN, Object-Oriented Programming, C++, Parallel Virtual Machine, Numerical Optimization |
17 | Yuan Lin, David A. Padua |
Analysis of Irregular Single-Indexed Array Accesses and Its Applications in Compiler Optimizations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CC ![In: Compiler Construction, 9th International Conference, CC 2000, Held as Part of the European Joint Conferences on the Theory and Practice of Software, ETAPS 2000, Berlin, Germany, March 25 - April 2, 2000, Proceedings, pp. 202-218, 2000, Springer, 3-540-67263-X. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
17 | Kai Zhang, John M. Mellor-Crummey, Robert J. Fowler |
Compilation and Runtime-Optimizations for Software Distributed Shared Memory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCR ![In: Languages, Compilers, and Run-Time Systems for Scalable Computers, 5th International Workshop, LCR 2000, Rochester, NY, USA, May 25-27, 2000, Selected Papers, pp. 182-191, 2000, Springer, 3-540-41185-2. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
17 | Dexter Kozen, Maria-Christina Patron |
Certification of Compiler Optimizations Using Kleene Algebra with Tests. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Computational Logic ![In: Computational Logic - CL 2000, First International Conference, London, UK, 24-28 July, 2000, Proceedings, pp. 568-582, 2000, Springer, 3-540-67797-6. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
17 | Michal Cierniak, Guei-Yuan Lueh, James M. Stichnoth |
Practicing JUDO: Java under dynamic optimizations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the 2000 ACM SIGPLAN Conference on Programming Language Design and Implementation (PLDI), Vancouver, Britith Columbia, Canada, June 18-21, 2000, pp. 13-26, 2000, ACM, 1-58113-199-2. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
17 | Somnath Ghosh, Margaret Martonosi, Sharad Malik |
Automated cache optimizations using CME driven diagnosis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 14th international conference on Supercomputing, ICS 2000, Santa Fe, NM, USA, May 8-11, 2000, pp. 316-326, 2000, ACM, 1-58113-270-0. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
17 | Jeffrey S. Vetter, Karsten Schwan |
Optimizations for Language-Directed Computational Steering. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPPS/SPDP ![In: 13th International Parallel Processing Symposium / 10th Symposium on Parallel and Distributed Processing (IPPS / SPDP '99), 12-16 April 1999, San Juan, Puerto Rico, Proceedings, pp. 486-491, 1999, IEEE Computer Society, 0-7695-0143-5. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
17 | Brian Grant, Matthai Philipose, Markus Mock, Craig Chambers, Susan J. Eggers |
An evaluation of staged run-time optimizations in DyC (with retrospective) ![Search on Bibsonomy](Pics/bibsonomy.png) |
Best of PLDI ![In: 20 Years of the ACM SIGPLAN Conference on Programming Language Design and Implementation 1979-1999, A Selection, pp. 656-669, 1999, ACM, 1-58113-623-4. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
17 | Brian Grant, Matthai Philipose, Markus Mock, Craig Chambers, Susan J. Eggers |
An Evaluation of Staged Run-Time Optimizations in DyC. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the 1999 ACM SIGPLAN Conference on Programming Language Design and Implementation (PLDI), Atlanta, Georgia, USA, May 1-4, 1999, pp. 293-304, 1999, ACM, 1-58113-094-5. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
17 | Yunheung Paek, Jay P. Hoeflinger, David A. Padua |
Simplification of Array Access Patterns for Compiler Optimizations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the ACM SIGPLAN '98 Conference on Programming Language Design and Implementation (PLDI), Montreal, Canada, June 17-19, 1998, pp. 60-71, 1998, ACM, 0-89791-987-4. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
Displaying result #301 - #400 of 5283 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ 12][ 13][ >>] |
|