The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "A-SSCC"( http://dblp.L3S.de/Venues/A-SSCC )

URL (DBLP): http://dblp.uni-trier.de/db/conf/asscc

Publication years (Num. hits)
2011 (103) 2012 (125) 2014 (97) 2015 (87) 2016 (91) 2017 (84) 2018 (91) 2019 (89) 2020 (42) 2021 (104) 2023 (100)
Publication types (Num. hits)
inproceedings(1002) proceedings(11)
Venues (Conferences, Journals, ...)
A-SSCC(1013)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
No Growbag Graphs found.

Results
Found 1013 publication records. Showing 1013 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Ye-Dam Kim, Jae-Hyun Chung, Kent Edrian Lozada, Dong-Jin Chang, Seung-Tak Ryu A 4th-order CT I-DSM with Digital Noise Coupling and Input Pre-conversion Method for Initialization. Search on Bibsonomy A-SSCC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yoontae Jung, Soon-Jae Kweon, Hyuntak Jeon, Taeju Lee, Injun Choi, Kyeongwon Jeong, Mi Kyung Kim, Hyunjoo Jenny Lee, Sohmyung Ha, Minkyu Je A 99.5dB-DR 5kHz-BW Closed-Loop Neural-Recording IC based on Continuous-Time Dynamic-Zoom ΔΣ ADC with Automatic AFE-Gain Control. Search on Bibsonomy A-SSCC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Masoud Pashaeifar, Anil Kumar Kumaran, Mohammadreza Beikmirza, Leo C. N. de Vreede, Morteza S. Alavi A 24-to-32GHz series-Doherty PA with two-step impedance inverting power combiner achieving 20.4dBm Psat and 38%/34% PAE at Psat/6dB PBO for 5G applications. Search on Bibsonomy A-SSCC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Brian Crafton, Samuel Spetalnick, Jong-Hyeok Yoon, Wei Wu, Carlos Tokunaga, Vivek De, Arijit Raychowdhury CIM-SECDED: A 40nm 64Kb Compute In-Memory RRAM Macro with ECC Enabling Reliable Operation. Search on Bibsonomy A-SSCC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Jianming Zhao, Yuan Gao 0011, Beibei Han, Minh Sang Nguyen, Zhipeng Ding, Peter Hyun Kee Chang A 8-channel Rectifier-Free SECE Circuit with 15nA/ch Quescient Current and 580% Efficiency Improvement for Ambient Vibration Energy Harvesting with Broadband MEMS PET Array. Search on Bibsonomy A-SSCC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Wenyu Sun, Chen Tang, Zhuqing Yuan, Zhe Yuan, Huazhong Yang, Yongpan Liu A 112-765 GOPS/W FPGA-based CNN Accelerator using Importance Map Guided Adaptive Activation Sparsification for Pix2pix Applications. Search on Bibsonomy A-SSCC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Liheng Liu, Yanlong Zhang, Li Dong 0007, Youze Xin, Shengwei Gao, Li Geng A Power Efficient ECG Front-End with Input-Adaptive Gain Reaching 67.6-dB Dynamic Range. Search on Bibsonomy A-SSCC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Jeongsup Lee, Yejoong Kim, Minchang Cho, Makoto Yasuda, Satoru Miyoshi, Masaru Kawaminami, David T. Blaauw, Dennis Sylvester AµProcessor Layer for mm-Scale Die-Stacked Sensing Platforms Featuring Ultra-Low Power Sleep Mode at 125°C. Search on Bibsonomy A-SSCC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Jiajun Wu 0006, Xuan Huang, Le Yang, Liang Wang, Jipeng Wang, Zuozhu Liu, Kwen-Siong Chong, Shaowei Lin, Chao Wang 0096 An Energy-efficient Multi-core Restricted Boltzmann Machine Processor with On-chip Bio-plausible Learning and Reconfigurable Sparsity. Search on Bibsonomy A-SSCC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Jaekwon Kim, Youngjun Ko, Jahoon Jin, Jaehyuk Choi 0001, Jung-Hoon Chun A Jitter-Tolerant Referenceless Digital-CDR for Cellular Transceivers. Search on Bibsonomy A-SSCC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Jianming Zhao, Yuan Gao 0011 A 0.5-to-1.2V, 310nA Quiescent Current, 3fs-FoM Time-Domain Output-Capacitorless LDO with Propagation-Delay-Triggered Edge Detector. Search on Bibsonomy A-SSCC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Zexi Ji, Wanyeong Jung, Jongchan Woo, Khushal Sethi, Shih-Lien Lu, Anantha P. Chandrakasan CompAcc: Efficient Hardware Realization for Processing Compressed Neural Networks Using Accumulator Arrays. Search on Bibsonomy A-SSCC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Giannino Dziallas, Adel Fatemi, Falk Korndörfer, Anna Peczek, Dietmar Kissinger, Lars Zimmermann, Andrea Malignaggi, Gerhard Kahmen A Monolithically Integrated Optical Bandpass Receiver in 0.25µm SiGe BiCMOS Technology for Microwave-Photonic Applications. Search on Bibsonomy A-SSCC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Toshiyuki Shimizu Supercomputer Fugaku: Co-designed with application developers/researchers. Search on Bibsonomy A-SSCC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Xin Xin, Linxiao Shen, Xiyuan Tang, Yi Shen 0007, Jueping Cai, Nan Sun 0001 A Power-Efficient 13-Tap FIR filter and an IIR Filter Embedded in a 10-bit SAR ADC. Search on Bibsonomy A-SSCC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Jun-Yeol Lee, Hye-Ran Kim, Sanghyeon Park, Jung-Hoon Chun A Dual-Mode Ground-Referenced Signaling Transceiver with a 3-Tap Feed-Forward Equalizer for Memory Interfaces. Search on Bibsonomy A-SSCC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Jie Lin, Chenchang Zhan, Yan Lu 0002 A 6.78-MHz Single-Stage Regulating Rectifier with Hysteretic Control and Current-Wave Modulation. Search on Bibsonomy A-SSCC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Hao-Yun Lee, Peng-Wei Huang, Ding-Siang Ciou, Zhan-Xian Liao, Shuenn-Yuh Lee A Power-Efficient Current Readout Circuit with VCO-Based 2nd-Order CT ΔΣ ADC for Electrochemistry Acquisition. Search on Bibsonomy A-SSCC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Vishnu P. Nambiar, Junran Pu, Yun Kwan Lee, Aarthy Mani, Tao Luo 0014, L. Yang, Eng-Kiat Koh, Ming Ming Wong, Fei Li 0015, Wang Ling Goh, Anh Tuan Do 0.5V 4.8 pJ/SOP 0.93µW Leakage/core Neuromorphic Processor with Asynchronous NoC and Reconfigurable LIF Neuron. Search on Bibsonomy A-SSCC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Wei Tsao, Da Sun, Chunlei Fan, Kuohsin Chen, Yingte Wang Co-optimization targeting future interconnection. Search on Bibsonomy A-SSCC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Cheng-Ze Shao, Yu-Te Liao A 950-pW, 39-pJ/Conversion Leakage-Based Temperature-to-Digital Converter With 43mk Resolution. Search on Bibsonomy A-SSCC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Shota Konno, Yuichi Miyahara, Kazuki Sobue, Koichi Hamashita A 16b 1.62MS/s Calibration-free SAR ADC with 86.6dB SNDR utilizing DAC Mismatch Cancellation Based on Symmetry. Search on Bibsonomy A-SSCC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Dewei Wang, Pavan Kumar Chundi, Sung Justin Kim, Minhao Yang, Joao Pedro Cerqueira, Joonsung Kang, Seungchul Jung, Sang Joon Kim, Mingoo Seok Always-On, Sub-300-nW, Event-Driven Spiking Neural Network based on Spike-Driven Clock-Generation and Clock- and Power-Gating for an Ultra-Low-Power Intelligent Device. Search on Bibsonomy A-SSCC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Chendi Yu, Howard C. Luong An 8-mW 66-GHz Active Circulator with 40dB TX-RX Isolation in 65nm CMOS for Full-Duplex Radios. Search on Bibsonomy A-SSCC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1 IEEE Asian Solid-State Circuits Conference, A-SSCC 2020, Virtual Event, Japan, November 9-11, 2020 Search on Bibsonomy A-SSCC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Soyeon Kim, Sanghoon Kang, Donghyeon Han, Sangyeob Kim, Sangjin Kim, Hoi-Jun Yoo An Energy-Efficient GAN Accelerator with On-chip Training for Domain Specific Optimization. Search on Bibsonomy A-SSCC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Sung-Hao Wang, Yu-Kai Huang, Ching-Yuan Chen, Chia-Fone Lee, Chia-Hsiang Yang, Chung-Chih Hung, Chien-Hao Liu, Ming-Dou Ker, Chung-Yu Wu Improved Design and In Vivo Animal Tests of Bone-Guided Cochlear Implant Microsystem with Monopolar Biphasic Multiple Stimulation and Neural Action Potential Acquisition. Search on Bibsonomy A-SSCC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Kyung-Sik Choi, Keun-Mok Kim, Jinho Ko, Sang-Gug Lee 0001 A 5 dBm 30.6% Efficiency 915 MHz Transmitter with $210\ \mu \mathrm{W}$ ULP PLL Employing Frequency Tripler and Digitally Controlled Duty/Phase Calibration Buffer. Search on Bibsonomy A-SSCC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Takanori Isono, Makoto Yamakura, Satoshi Shimaya, Isao Kawamoto, Nobuhiro Tsuboi, Masaaki Mineo, Wataru Nakajima, Kenichi Ishida, Shin Sasaki, Toshio Higuchi, Masahiro Hoshaku, Daisuke Murakami, Toshifumi Iwasaki, Hiroshi Hirai A 12.1 TOPS/W Mixed-precision Quantized Deep Convolutional Neural Network Accelerator for Low Power on Edge / Endpoint Device. Search on Bibsonomy A-SSCC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Yu-Chin Hsu, Robert Chen-Hao Chang Intelligent Chips and Technologies for AIoT Era. Search on Bibsonomy A-SSCC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Jiawei Xu 0001, Zhiliang Hong A 2-Electrode ECG Amplifier with 0.5% Nominal Gain Shift and 0.13% THD in a 530mVpp Input Common-Mode Range. Search on Bibsonomy A-SSCC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Unbong Lee, Doojin Jang, Wanyeong Jung, Minkyu Je Input-Adaptive and Regulated Multi-Output Power Management Unit for Wireless Power Reception and Distribution in Multi-Unit Implantable Devices. Search on Bibsonomy A-SSCC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Kang-Il Cho, Ho-Jin Kim, Jun-Ho Boo, Yong-Sik Kwak, Jun-Sang Park, Seung-Hoon Lee, Gil-Cho Ahn A 10-b 900-MS/s Single-Channel Pipelined-SAR ADC Using Current-Mode Reference Scaling. Search on Bibsonomy A-SSCC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Hung-Chih Liu, Hsun-Wei Chan, Henry Lopez Davila, Kang-Lun Chiu, Chih-Wei Jen, Ngoc-Giang Doan, Zheng-Chun Huang, Hsin-Ting Chang, Nien-Hsiang Chang, Pei-Yun Tsai, Yen-Cheng Kuan, Shyh-Jye Jou A 16/64 QAM Baseband SoC for mm-Wave Transceiver with Self-Healing for FD/FI IQ Mismatch, LO Leakage and CFO/SCO/PNC. Search on Bibsonomy A-SSCC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Jianxun Yang, Yuyao Kong, Zhao Zhang, Zhuangzhi Liu, Jing Zhou, Yiqi Wang 0005, Yonggang Liu, Chenfu Guo, Te Hu, Congcong Li, Leibo Liu, Jin Zhang, Shaojun Wei, Jun Yang 0006, Shouyi Yin A Time-Domain Computing-in-Memory based Processor using Predictable Decomposed Convolution for Arbitrary Quantized DNNs. Search on Bibsonomy A-SSCC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Koji Yoichi, Sugako Otani, Kazutoshi Tsuda, Naoya Tokimoto, Hideki Kamegawa, Yoshihisa Satou, Shioto Tanaka, Hideki Otsu, Mitsuru Hiraki, Masao Ito, Mitsuya Fukazawa, Hiroyuki Kondo A High-Precision Analog Front End Integrated in a 32bit Microcontroller for Industrial Sensing Applications. Search on Bibsonomy A-SSCC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Gunjan Mandal, Sunil Rajan, Sanjeeb Kumar Ghosh, Saikat Hazra, Raghavendra Molthati, Parin Rajnikant Bhuta, Santosh Kumar Reddy, Vishnu Kalyanamahadevi Gopalan Jawarlal, Sumanth Chakkirala, Avneesh Singh Verma, Umamaheswara Reddy Katta, Venugopal Sadana, Dayakar Bethi, Abul Hassan Savanur, Praveen S. Bharadwaj, Krupal Jitendra Mehta, Kuntal Pandya A 2.68mW/Gbps, 1.62-8.1Gb/s Receiver for Embedded DisplayPort Version1.4b to Support 14dB Channel Loss. Search on Bibsonomy A-SSCC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Shilei Hao, Yiwu Tang, Xuan Ding, Li Du, Yuan Du, Adrian Tang 0002, Qun Jane Gu, Mau-Chung Frank Chang An 8.3% Efficiency 96-134 GHz CMOS Frequency Doubler Using Distributed Amplifier and Nonlinear Transmission Line. Search on Bibsonomy A-SSCC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Jun-Sang Park, Je-Min Jeon, Jun-Ho Boo, Jae-Hyuk Lee, Kang-Il Cho, Ho-Jin Kim, Gil-Cho Ahn, Seung-Hoon Lee A 2.2mW 12-bit 200MS/s 28nm CMOS Pipelined SAR ADC with Dynamic Register-Based High-Speed SAR Logic. Search on Bibsonomy A-SSCC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Yue Yin, Syed Muhammad Abubakar, Songyao Tan, Hanjun Jiang, Zhihua Wang 0001, Seng-Pan U, Wen Jia A 17.7-pJ/Cycle ECG Processor for Arrhythmia Detection with High Immunity to Power Line Interference and Baseline Drift. Search on Bibsonomy A-SSCC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Zhan-Xian Liao, Yao-Tse Chang, Chieh Tsou, Po-Hao Cheng, Hao-Yun Lee, Peng-Wei Huang, Shuenn-Yuh Lee, Chou-Ching K. Lin, Gia-Shing Shieh Wireless Charging EEG Monitoring SoC with AI Algorithm-driven Electrical and Optogenetic Stimulation for Epilepsy Control. Search on Bibsonomy A-SSCC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Jinq Horng Teo, K. Ali, Massimo Alioto Voice Activity Detection with >83% Accuracy under SNR down to -3dB at $1.19\mu \mathrm{W}$ and 0.07mm2 in 40nm. Search on Bibsonomy A-SSCC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Yu-Ting Lin, Wei-Zen Chen A 50 Gb/s PAM-4 Transmitter with Feedforward Equalizer and Background Phase Error Calibration. Search on Bibsonomy A-SSCC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Jaekwang Yun, Sangyoon Lee, Yong-Un Jeong, Shin-Hyun Jeong, Suhwan Kim A 0.4-1.7GHz Wide Range Fractional-N PLL Using a Transition-Detection DAC for Jitter Reduction. Search on Bibsonomy A-SSCC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Aminah Hina, Wala Saadeh A 186µW Glucose Monitoring SoC using Near-Infrared Photoplethysmography. Search on Bibsonomy A-SSCC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Pranith R. Byreddy, Yukun Zhu, Harshpreet S. Bakshi, Kenneth K. O, Wooyeol Choi 0001 287-GHz CMOS Transceiver Pixel Array in a QFN Package for Active Imaging. Search on Bibsonomy A-SSCC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Jian Zhao 0004, Jingna Mao, Wenyu Sun, Yuxuan Huang, Yixiong Yang, Huazhong Yang, Yongpan Liu A 4-Mbps 41-pJ/bit On-off Keying Transceiver for Body-channel Communication with Enhanced Auto Loss Compensation Technique. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Kang-Lun Chiu, Hsun-Wei Chan, Wei-Che Lee, Chang-Ting Wu, Henry Lopez Davila, Hung-Chih Liu, Meng-Yuan Huang, Chun-Yi Liu 0001, Tsai-Hua Lee, Hsin-Ting Chang, Chih-Wei Jen, Nien-Hsiang Chang, Pei-Yun Tsai, Yen-Cheng Kuan, Shyh-Jye Jou A Millimeter Wave Digital CMOS Baseband Transceiver for Wireless LAN Applications. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Jonathan Fuh, Fu-Bin Yang, Po-Hung Chen A 69.3% Efficiency, 6.78-MHz Wireless Power Delivery System with 0X/1X Regulating Rectifier and Reconfigurable Power Amplifier. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Amit Agarwal 0001, Steven Hsu, Monodeep Kar, Mark A. Anders 0001, Himanshu Kaul, Raghavan Kumar, Vikram B. Suresh, Sanu Mathew, Ram Krishnamurthy 0001, Vivek De A 54% Power-Saving Static Fully-Interruptible Single-Phase-Clocked Shared-Keeper Flip-Flop in 14nm CMOS. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Min-Jae Seo, Dong-Hwan Jin, Ye-Dam Kim, Jong-Pal Kim, Dong-Jin Chang, Won-Mook Lim, Jae-Hyun Chung, Chang-Un Park, Eun-Ji An, Seung-Tak Ryu A Single-Supply Buffer-Embedding SAR ADC with Skip-Reset having Inherent Chopping Capability. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Heng Huang, Milin Zhang 0001, Guolin Li, Zhihua Wang 0001 A 2Mbps sub-100µW Crystal-less RF Transmitter with Energy Harvesting for Multi-Channel Neural Signal Acquisition. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Zhe Yuan, Jingyu Wang, Yixiong Yang, Jinshan Yue, Zhibo Wang 0004, Xiaoyu Feng, Yanzhi Wang, Xueqing Li, Huazhong Yang, Yongpan Liu A Sparse-Adaptive CNN Processor with Area/Performance balanced N-Way Set-Associate PE Arrays Assisted by a Collision-Aware Scheduler. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Cong Ding 0004, Haixin Song, Woogeun Rhee, Zhihua Wang 0001 A 100Mb/s 3.5GHz Fully-Balanced BFOOK Modulator Based on Integer-N Hyrbrid PLL. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Xiangyu Meng 0003, Zhenpeng Zheng, Jiaqi Zhang, C. Patrick Yue A 28-GHz Compact SPDT Switch Using LC-Based Spiral Transmission Lines in 65-nm CMOS. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Harry Muljono, Kathy Peng, Linda Sun, Isaac Abraham, Charlie Lin, Yanjie Zhu, Chunrong Song A 2.666GT/s 128GB/s 14nm Memory I/O with Jitter and Crosstalk Cancellation. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Qichen Zhang, Yun Chen 0001, Xiaoyang Zeng, Keshab K. Parhi, Borivoje Nikolic A 3.01 mm2 65.38Gb/s Stochastic LDPC Decoder for IEEE 802.3an in 65 nm. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Chenyu Xu, Dixian Zhao A Ka-Band CMOS Phase-Inverting Amplifier with 0.6 dB Gain Error and 2.5° Phase Error. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Junhao Liang, Sai-Weng Sin, Seng-Pan U, Franco Maloberti, Rui Paulo Martins, Hanjun Jiang A High DR High-Input-Impedance Programmable-Gain ECG Acquisition Interface with Non-inverting Continuous Time Sigma-Delta Modulator. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Gain Kim, Lukas Kull, Danny Luu, Matthias Braendli, Christian Menolfi, Pier Andrea Francese, Hazar Yueksel, Cosimo Aprile, Thomas Morf, Marcel A. Kossel, Alessandro Cevrero, Ilter Özkaya, Hyeon-Min Bae, Andreas Burg, Thomas Toifl, Yusuf Leblebici A 4.8pJ/b 56Gb/s ADC-Based PAM-4 Wireline Receiver Data-Path with Cyclic Prefix in 14nm FinFET. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1 IEEE Asian Solid-State Circuits Conference, A-SSCC 2019, Macau, SAR, China, November 4-6, 2019 Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  BibTeX  RDF
1Harald Garvik, Carsten Wulff, Trond Ytterdal A 68 dB SNDR Compiled Noise-Shaping SAR ADC With On-Chip CDAC Calibration. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Jiajun Zhang 0002, Dixian Zhao A 20-GHz Ultra-Low-Power LNA Using gm-Boosted and Current-Reuse Techniques in 65-nm CMOS for Satellite Communication Terminals. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Jin-Gyu Kang, Min-Gyu Jeong, Jeongpyo Park, Changsik Yoo Time-Based Digital LDO Regualtor with Fractionally Controlled Power Transistor Strength and Fast Transient Response. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Shinya Kajiyama, Yutaka Igarashi, Toru Yazaki, Yusaku Katsube, Takuma Nishimoto, Tatsuo Nakagawa, Yohei Nakamura, Yoshihiro Hayashi, Taizo Yamawaki T/R-Switch Composed of 3 High-Voltage MOSFETs with 12.1 µW Consumption that can Perform Per-channel TX to RX Self-Loopback AC Tests for 3D Ultrasound Imaging with 3072-channel Transceiver. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Xinyuan Ge, Lin Cheng 0001, Wing-Hung Ki A DCM ZVS Class-D Power Amplifier for Wireless Power Transfer Applications. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Sujith Billa, Suhas Dixit, Shanthi Pavan A 265μW Continuous-Time 1-2 MASH ADC Achieving 100.6 dB SNDR in a 24 kHz Bandwidth. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Muhammad Bilawal Khan, Hassan Saif, Yoonmyung Lee A Piezoelectric Energy Harvesting Interface for Irregular High Voltage Input with Partial Electric Charge Extraction with 3.9× Extraction Improvement. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Jongeun Koo, Eunhwan Kim, Seunghyun Yoo, Taesu Kim, Sungju Ryu, Jae-Joon Kim Configurable BCAM/TCAM Based on 6T SRAM Bit Cell and Enhanced Match Line Clamping. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Akshay Jayaraj, Abhijit Das, Srinivas Arcot, Arindam Sanyal 8.6fJ/step VCO-Based CT 2nd-Order $\Delta\Sigma$ ADC. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Peilin Yang, Yanshu Guo, Hanjun Jiang, Zhihua Wang 0001 A 360-456 MHz PLL frequency synthesizer with digitally controlled charge pump leakage calibration. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Jeong Hoan Park, Tao Tang, Lian Zhang, Kian Ann Ng, Jerald Yoo A 15-Ch. 0.019 mm2/Ch. 0.43% Gain Mismatch Orthogonal Code Chopping Instrumentation Amplifier SoC for Bio-Signal Acquisition. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Paul Stärke, Andres Seidel, Corrado Carta, Frank Ellinger Direct-Conversion Receiver Front-End for 180 GHz with 80 GHz Bandwidth in 130nm SiGe. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yasunori Mochizuki AI and IoT for Social Value Creation. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Zunsong Yang, Yong Chen 0005, Pui-In Mak, Rui Paulo Martins A 0.003-mm2 440fsRMS-Jitter and -64dBc-Reference-Spur Ring-VCO-Based Type-I PLL Using a Current-Reuse Sampling Phase Detector in 28-nm CMOS. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Bingwei Jiang, Howard C. Luong A 23-mW 60-GHz Differential Sub-Sampling PLL with an NMOS-Only Differential-Inductively-Tuned VCO. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Elisa Sacco, Johan Vergauwen, Georges G. E. Gielen A 16.1-b ENOB 0.064mm2 Compact Highly-Digital Closed-Loop Single-VCO-based 1-1 SMASH Resistance-to-Digital Converter in 180nm CMOS. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Y. Zha, Loïc Zahnd, Jiang Deng, David Ruffieux, Komail M. H. Badami, T. Mavrogordatos, Y. Matsuo, Stéphane Emery An Untrimmed PVT-Robust 12-bit 1-MS/s SAR ADC IP in 55nm Deeply Depleted Channel CMOS Process. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Sai Kiran Cherupally, Shihui Yin, Deepak Kadetotad, Chisung Bae, Sang Joon Kim, Jae-Sun Seo A Smart Hardware Security Engine Combining Entropy Sources of ECG, HRV and SRAM PUF for Authentication and Secret Key Generation. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Fei Li 0015, Ming Ming Wong, Aarthy Mani, Vishnu Paramasivam, Anh-Tuan Do 0.54 pJ/bit, 15Mb/s True Random Number Generator Using Probabilistic Delay Cell for Edge Computing Applications. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Zhao Zhang 0004, Guang Zhu, Can Wang 0009, Li Wang 0083, C. Patrick Yue A 32-Gb/s 0.46-pJ/bit PAM4 CDR Using a Quarter-Rate Linear Phase Detector and a Low-Power Multiphase Clock Generator. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yu-Pin Hsu 0002, Zemin Liu, Mona Mostafa Hella A 10 $\mu \mathrm{W}-74.6\mathrm{dB}$ THD Arterial Pulse Waveform Sensing System with Automatic Bridge-Offset Calibration and Super Class-AB Output Stage. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Hyunjoon Kim, Qian Chen 0027, Bongjin Kim A 16K SRAM-Based Mixed-Signal In-Memory Computing Macro Featuring Voltage-Mode Accumulator and Row-by-Row ADC. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Zhengkun Shen, Heyi Li, Haoyun Jiang, Zherui Zhang, Junhua Liu, Huailin Liao A 12-GHz All-Digital Calibration-Free FMCW Signal Generator Based on a Retiming Fractional Frequency Divider. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Barosaim Sung, Chilun Lo, Jaehoon Lee 0005, Sangdon Jung, Seungjin Kim, Jaehong Jung, Seungyong Bae, Youngsea Cho, Yong Lim, Dooseok Choi, Myeongcheol Shin, Soonwoo Choi, Byungki Han, Seunghyun Oh, Jongwoo Lee A Blocker-Tolerant Direct Sampling Receiver for Wireless Multi-Channel Communication in 14nm FinFET CMOS. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Peng Gu, Dixian Zhao A DC-43.5 GHz CMOS Switched-Type Attenuator with Capacitive Compensation Technique. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yiming Zhu What are the driving forces of DRAM? Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Wooseok Byun, Dokyun Kim, Sung Yeon Kim, Ji-Hoon Kim A 110.3-bits/min 8-Ch SSVEP-based Brain-Computer Interface SoC with 87.9% Accuracy. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Miaorong Wang, Anantha P. Chandrakasan Flexible Low Power CNN Accelerator for Edge Computing with Weight Tuning. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Jihee Lee, Jaeeun Jang, Jaehyuk Lee, Hoi-Jun Yoo A battery-less 31 µW HBC receiver with RF energy harvester for implantable devices. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Zhixiao Zhang, Jia-Jing Chen, Xin Si, Yung-Ning Tu, Jian-Wei Su, Wei-Hsing Huang, Jing-Hong Wang, Wei-Chen Wei, Yen-Cheng Chiu, Je-Min Hong, Shyh-Shyuan Sheu, Sih-Han Li, Ren-Shuo Liu, Chih-Cheng Hsieh, Kea-Tiong Tang, Meng-Fan Chang A 55nm 1-to-8 bit Configurable 6T SRAM based Computing-in-Memory Unit-Macro for CNN-based AI Edge Processors. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Saurabh Jain, Longyang Lin, Massimo Alioto Drop-In Energy-Performance Range Extension in Microcontrollers Beyond VDD Scaling. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Sangdon Jung, Jaehong Jung, Byungki Han, Seunghyun Oh, Jongwoo Lee A 9.4MHz-to-2.4GHz Jitter-Power Reconfigurable Fractional-N Ring PLL for Multi-Standard Applications in 7nm FinFET CMOS Technology. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Jae Sik Yoon, Jiyoon Hong, Jintae Kim A Digitally-Calibrated 70.98dB-SNDR 625kHz-Bandwidth Temperature-Tolerant 2nd-order Noise-Shaping SAR ADC in 65nm CMOS. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yoshisato Yokoyama, Kenji Goto, Tomohiro Miura, Yukari Ouchi, Daisuke Nakamura, Jiro Ishikawa, Shunya Nagata, Yoshiki Tsujihashi, Yuichiro Ishii A Cost Effective Test Screening Circuit for embedded SRAM with Resume Standby on 110-nm SoC/MCU. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Elly De Pelecijn, Michiel Steyaert A 7.5 - 42V Input High-VCR Monolithic DC-DC Converter Using Stacked Isolated SC Cores. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Chen-Yi Kuo, Chun-An Lu, Yu-Te Liao A 918MHz Wide-Range CMOS Rectifier with Diode-Feeding and Switch-Capacitor-Based Load Modulation Technique. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Seungsik Moon, Hyunhoon Lee, Younghoon Byun, Jongmin Park, Junseo Joe, Seokha Hwang, Sunggu Lee, Youngjoo Lee FPGA-Based Sparsity-Aware CNN Accelerator for Noise-Resilient Edge-Level Image Recognition. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Xiaoyong Xue, Jianguo Yang, Yuejun Zhang, Mingyu Wang, Hangbing Lv, Xiaoyang Zeng, Ming Liu 0022 A 28nm 512Kb adjacent 2T2R RRAM PUF with interleaved cell mirroring and self-adaptive splitting for extremely low bit error rate of cryptographic key. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Matthias Eberlein, Harald Pretl A Low-Noise Sub-Bandgap Reference with a ±0.64% Untrimmed Precision in 16nm FinFET. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 1013 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license