The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ASP-DAC"( http://dblp.L3S.de/Venues/ASP-DAC )

URL (DBLP): http://dblp.uni-trier.de/db/conf/aspdac

Publication years (Num. hits)
1995 (80) 1997 (98) 1998 (103) 1999 (92) 2000 (135) 2001 (129) 2003 (163) 2004 (195) 2005 (302) 2006 (180) 2007 (175) 2008 (158) 2009 (161) 2010 (167) 2011 (160) 2012 (147) 2013 (150) 2014 (152) 2015 (159) 2016 (135) 2017 (153) 2018 (139) 2019 (130) 2020 (115) 2021 (152) 2022 (122) 2023 (127)
Publication types (Num. hits)
inproceedings(3955) proceedings(24)
Venues (Conferences, Journals, ...)
ASP-DAC(3979)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 343 occurrences of 283 keywords

Results
Found 3979 publication records. Showing 3979 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Junichiro Kadomoto, Hidetsugu Irie, Shuichi Sakai Deformable Chiplet-Based Computer Using Inductively Coupled Wireless Communication. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Dehua Liang, Jun Shiomi, Noriyuki Miura, Hiromitsu Awano DistriHD: A Memory Efficient Distributed Binary Hyperdimensional Computing Architecture for Image Classification. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Ziwei Li, Han Xu 0006, Zheyu Liu, Li Luo, Qi Wei 0001, Fei Qiao A 2.17μW@120fps Ultra-Low-Power Dual-Mode CMOS Image Sensor with Senputing Architecture. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Febin Sunny, Mahdi Nikdast, Sudeep Pasricha SONIC: A Sparse Neural Network Inference Accelerator with Silicon Photonics for Energy-Efficient Deep Learning. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Xiaoming Chen 0003, Yinhe Han 0001 Solving Least-Squares Fitting in $O(1)$ Using RRAM-based Computing-in-Memory Technique. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Mengyu Guo, Zihan Zhang, Jianfei Jiang 0001, Qin Wang 0009, Naifeng Jing Boosting ReRAM-based DNN by Row Activation Oversubscription. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Zishen Wan, Ashwin Sanjay Lele, Arijit Raychowdhury Circuit and System Technologies for Energy-Efficient Edge Robotics: (Invited Paper). Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Ze-Han Wang, Zhenli He, Hui Fang, Yi-Xiong Huang, Ying Sun, Yu Yang, Zhi-Yuan Zhang, Di Liu 0002 Efficient On-Device Incremental Learning by Weight Freezing. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Hussam Amrouch, Florian Klemme, Paul R. Genssler Design Close to the Edge for Advanced Technology using Machine Learning and Brain-Inspired Algorithms. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Rui Xu 0013, Edwin Hsing-Mean Sha, Qingfeng Zhuge, Yuhong Song, Jingzhi Lin Optimal Loop Tiling for Minimizing Write Operations on NVMs with Complete Memory Latency Hiding. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yuanbin Zhou, Soheil Samii, Petru Eles, Zebo Peng Time-Triggered Scheduling for Time-Sensitive Networking with Preemption. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Jia-Xian Chen, Shi-Tang Liu, Yu-Tsung Wu, Mu-Ting Wu, Chien-Mo James Li, Norman Chang, Ying-Shiun Li, Wentze Chuang Vector-based Dynamic IR-drop Prediction Using Machine Learning. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Chengrui Zhang, Yu Ma, Pingqiang Zhou Thermal-Aware Layout Optimization and Mapping Methods for Resistive Neuromorphic Engines. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Rami Beidas, Jason Helge Anderson CGRA Mapping Using Zero-Suppressed Binary Decision Diagrams. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yilan Li, Haowen Fang, Mingyang Li, Yue Ma, Qinru Qiu Neural Network Pruning and Fast Training for DRL-based UAV Trajectory Planning. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Mohammadamir Kavousi, Liang Chen 0025, Sheldon X.-D. Tan Fast Electromigration Stress Analysis Considering Spatial Joule Heating Effects. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Ahmet Faruk Budak, Zixuan Jiang, Keren Zhu 0001, Azalia Mirhoseini, Anna Goldie, David Z. Pan Reinforcement Learning for Electronic Design Automation: Case Studies and Perspectives: (Invited Paper). Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Md Tanvir Arafin Computation-in-Memory Accelerators for Secure Graph Database: Opportunities and Challenges. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Chongnan Ye, Chundong Wang 0001 Boosting the Search Performance of B+-tree with Sentinels for Non-volatile Memory. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Jiho Kim, Kwoanyoung Park, Tae-Hwan Kim A Reconfigurable Inference Processor for Recurrent Neural Networks Based on Programmable Data Format in a Resource-Limited FPGA. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Hongyu Fang, Milos Doroslovacki, Guru Venkataramani SC-K9: A Self-synchronizing Framework to Counter Micro-architectural Side Channels. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Sen Yin, Wenfei Hu, Wenyuan Zhang 0001, Ruitao Wang, Jian Zhang, Yan Wang 0023 An Efficient Kriging-based Constrained Multi-objective Evolutionary Algorithm for Analog Circuit Synthesis via Self-adaptive Incremental Learning. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yuxuan Huang, Yifan He, Jinshan Yue, Wenyu Sun, Huazhong Yang, Yongpan Liu Sparsity-Aware Non-Volatile Computing-In-Memory Macro with Analog Switch Array and Low-Resolution Current-Mode ADC. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Hao Kong, Di Liu 0002, Xiangzhong Luo, Weichen Liu, Ravi Subramaniam HACScale: Hardware-Aware Compound Scaling for Resource-Efficient DNNs. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Liang Chen 0025, Wentian Jin, Sheldon X.-D. Tan Fast Thermal Analysis for Chiplet Design based on Graph Convolution Networks. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Fan Zhang 0069, Li Yang 0009, Jian Meng, Yu Kevin Cao, Jae-sun Seo, Deliang Fan XBM: A Crossbar Column-wise Binary Mask Learning Method for Efficient Multiple Task Adaption. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Tashfia Alam, Zhenkun Yang, Bo Chen, Nicholas Armour, Sandip Ray FirVer: Concolic Testing for Systematic Validation of Firmware Binaries. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Keren Zhu 0001, Hao Chen 0059, Mingjie Liu, Xiyuan Tang, Wei Shi, Nan Sun 0001, David Z. Pan Generative-Adversarial-Network-Guided Well-Aware Placement for Analog Circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Tiago D. Perez, Samuel Pagliarini A Side-Channel Hardware Trojan in 65nm CMOS with $2\mu\mathrm{W}$ precision and Multi-bit Leakage Capability. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1 27th Asia and South Pacific Design Automation Conference, ASP-DAC 2022, Taipei, Taiwan, January 17-20, 2022 Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yue Xing 0001, Aarti Gupta, Sharad Malik Generalizing Tandem Simulation: Connecting High-level and RTL Simulation Models. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Anlan Yu, Ning Lyu, Wujie Wen, Zhiyuan Yan Reliable Memristive Neural Network Accelerators Based on Early Denoising and Sparsity Induction. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Liuyao Dai, Quan Cheng, Yuhang Wang, Gengbin Huang, Junzhuo Zhou, Kai Li, Wei Mao 0002, Hao Yu 0001 An Energy-Efficient Bit-Split-and-Combination Systolic Accelerator for NAS-Based Multi-Precision Convolution Neural Networks. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yishuang Lin, Rongjian Liang, Yaguang Li, Hailiang Hu, Jiang Hu Mapping Large Scale Finite Element Computing on to Wafer-Scale Engines. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Hsuan Hsiao, Joshua San Miguel, Jason Helge Anderson Streaming Accuracy: Characterizing Early Termination in Stochastic Computing. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Guowei Chen, Xinyang Yu, Yue Wang, Tran Minh Quan, Naofumi Matsuyama, Takuya Tsujimura, Kiichi Niitsu A 0.5 mm2 Ambient Light-Driven Solar Cell-Powered Biofuel Cell-Input Biosensing System with LED Driving for Stand-Alone RF-Less Continuous Glucose Monitoring Contact Lens. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Qidong Tang, Zhezhi He, Fangxin Liu, Zongwu Wang, Yiyuan Zhou, Yinghuan Zhang, Li Jiang 0002 HAWIS: Hardware-Aware Automated WIdth Search for Accurate, Energy-Efficient and Robust Binary Neural Network on ReRAM Dot-Product Engine. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Zihan Xu, Lingfeng Yin, Yongqiang Lyu 0001, Haixia Wang 0001, Gang Qu 0001, Dongsheng Wang 0002 CacheGuard: A Behavior Model Checker for Cache Timing Side-Channel Security: (Invited Paper). Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Jaeyoung Kang 0001, Behnam Khaleghi, Yeseong Kim, Tajana Rosing XCelHD: An Efficient GPU-Powered Hyperdimensional Computing with Parallelized Training. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Junhua Huang, Hui-Ling Zhen, Naixing Wang, Mingxuan Yuan, Hui Mao, Yu Huang, Jiping Tao Accelerate SAT-based ATPG via Preprocessing and New Conflict Management Heuristics. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Xuezhong Lin, Jingyu Pan, Jinming Xu 0002, Yiran Chen 0001, Cheng Zhuo Lithography Hotspot Detection via Heterogeneous Federated Learning with Local Adaptation. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1M. Imtiaz Rashid, Benjamin Carrión Schäfer Improving the Quality of Hardware Accelerators through automatic Behavioral Input Language Conversion in HLS. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Swantje Plambeck, Lutz Schammer, Görschwin Fey On the Viability of Decision Trees for Learning Models of Systems. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Lingxiao Hou, Yutaka Masuda, Tohru Ishihara An Accuracy Reconfigurable Vector Accelerator Based on Approximate Logarithmic Multipliers. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Kangwei Xu, Yuanqing Cheng Fault Testing and Diagnosis Techniques for Carbon Nanotube-Based FPGAs. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Chung-Hsiang Lin, Shao-Fu Lin, Yi-Jung Chen, En-Yu Jenp, Chia-Lin Yang PUMP: Profiling-free Unified Memory Prefetcher for Large DNN Model Support. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Xun Jiang, Jiarui Wang, Yibo Lin, Zhongfeng Wang 0001 FPGA-Accelerated Maze Routing Kernel for VLSI Designs. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Reiji Miura, Saito Shibata, Masahiro Usui, Atsutake Kosuge, Mototsugu Hamada, Tadahiro Kuroda A 5.2GHz RFID Chip Contactlessly Mountable on FPC at any 90-Degree Rotation and Face Orientation. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Rui Liu, Xiaoyu Zhang 0009, Xiaoming Chen 0003, Yinhe Han 0001, Minghua Tang FeMIC: Multi-Operands in-Memory Computing Based on FeFETs. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Chuxi Li, Xiaoya Fan, Shengbing Zhang, Zhao Yang, Miao Wang, Danghui Wang, Meng Zhang Hardware-Aware NAS Framework with Layer Adaptive Scheduling on Embedded System. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Walter Lau Neto, Matheus Trevisan Moreira, Luca G. Amarù, Cunxi Yu, Pierre-Emmanuel Gaillardon Read your Circuit: Leveraging Word Embedding to Guide Logic Optimization. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Hsuan Hsiao, Joshua San Miguel, Yuko Hara-Azumi, Jason Helge Anderson Zero Correlation Error: A Metric for Finite-Length Bitstream Independence in Stochastic Computing. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yi Zhang 0092, Jian Pang, Kiyoshi Yanagizawa, Atsushi Shirane, Kenichi Okada 28GHz Phase Shifter with Temperature Compensation for 5G NR Phased-array Transceiver. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Li Yang 0009, Deliang Fan Dynamic Neural Network to Enable Run-Time Trade-off between Accuracy and Latency. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yuhang Wang, Song Jin, Tao Li 0006 A Low Cost Weight Obfuscation Scheme for Security Enhancement of ReRAM Based Neural Network Accelerators. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Stefan Hillmich, Alwin Zulehner, Robert Wille Exploiting Quantum Teleportation in Quantum Circuit Mapping. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Susmita Dey Manasi, Sachin S. Sapatnekar DeepOpt: Optimized Scheduling of CNN Workloads for ASIC-based Systolic Deep Learning Accelerators. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Zhuolun He, Peiyu Liao, Siting Liu 0002, Yuzhe Ma, Yibo Lin, Bei Yu 0001 Physical Synthesis for Advanced Neural Network Processors. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Cecilia De la Parra, Andre Guntoro, Akash Kumar 0001 Efficient Accuracy Recovery in Approximate Neural Networks by Systematic Error Modelling. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Bo Jiao, Jinshan Zhang 0006, Yuanyuan Xie, Shunli Wang 0001, Haozhe Zhu, Xiaoyang Kang 0001, Zhiyan Dong, Lihua Zhang, Chixiao Chen A 0.57-GOPS/DSP Object Detection PIM Accelerator on FPGA. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Chirag Sudarshan, Taha Soliman, Cecilia De la Parra, Christian Weis, Leonardo Ecco, Matthias Jung 0001, Norbert Wehn, Andre Guntoro A Novel DRAM-Based Process-in-Memory Architecture and its Implementation for CNNs. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Mehran Goli, Rolf Drechsler ATLaS: Automatic Detection of Timing-based Information Leakage Flows for SystemC HLS Designs. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Taylor Kemp, Yao Yao, Younghyun Kim 0001 MIPAC: Dynamic Input-Aware Accuracy Control for Dynamic Auto-Tuning of Iterative Approximate Computing. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Dayane Reis, Ann Franchesca Laguna, Michael T. Niemier, Xiaobo Sharon Hu Attention-in-Memory for Few-Shot Learning with Configurable Ferroelectric FET Arrays. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Seyed Morteza Nabavinejad, Sherief Reda, Masoumeh Ebrahimi BatchSizer: Power-Performance Trade-off for DNN Inference. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Haoyu Yang, Shifan Zhang, Kang Liu 0017, Siting Liu 0002, Benjamin Tan 0001, Ramesh Karri, Siddharth Garg, Bei Yu 0001, Evangeline F. Y. Young Attacking a CNN-based Layout Hotspot Detector Using Group Gradient Method. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Zheyu Yan, Da-Cheng Juan, Xiaobo Sharon Hu, Yiyu Shi 0001 Uncertainty Modeling of Emerging Device based Computing-in-Memory Neural Accelerators with Application to Neural Architecture Search. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Suwan Kim, Kyeongrok Jo, Taewhan Kim Boosting Pin Accessibility Through Cell Layout Topology Diversification. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Shashank Hegde, Subhash Sethumurugan, Hari Cherupalli, Henry Duwe, John Sartori Constrained Conservative State Symbolic Co-analysis for Ultra-low-power Embedded Systems. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Dan Zheng, Xiaopeng Zhang 0009, Chak-Wa Pui, Evangeline F. Y. Young Multi-FPGA Co-optimization: Hybrid Routing and Competitive-based Time Division Multiplexing Assignment. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Shuang Liang 0010, Changcheng Tang, Xuefei Ning, Shulin Zeng, Jincheng Yu, Yu Wang 0002, Kaiyuan Guo, Diange Yang, Tianyi Lu, Huazhong Yang Efficient Computing Platform Design for Autonomous Driving Systems. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Atsushi Matsuo, Wakaki Hattori, Shigeru Yamashita Dynamical Decomposition and Mapping of MPMCT Gates to Nearest Neighbor Architectures. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yi Tan, Yohsuke Shiiki, Hiroki Ishikuro Gate Voltage Optimization in Capacitive DC-DC Converters for Thermoelectric Energy Harvesting. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Soowang Park, Jae-Won Nam, Sandeep K. Gupta 0001 HW-BCP: A Custom Hardware Accelerator for SAT Suitable for Single Chip Implementation for Large Benchmarks. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Fanruo Meng, Fateme S. Hosseini, Chengmo Yang A Self-Test Framework for Detecting Fault-induced Accuracy Drop in Neural Network Accelerators. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Xiaohan Gao, Chenhui Deng, Mingjie Liu, Zhiru Zhang, David Z. Pan, Yibo Lin Layout Symmetry Annotation for Analog Circuits with Graph Neural Networks. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Marcel Walter, Winston Haaswijk, Robert Wille, Frank Sill Torres, Rolf Drechsler One-pass Synthesis for Field-coupled Nanocomputing Technologies. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Chia-Chun Lin, Hsin-Ping Yen, Sheng-Hsiu Wei, Pei-Pei Chen, Yung-Chih Chen, Chun-Yao Wang A General Equivalence Checking Framework for Multivalued Logic. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Priyanka Singla 0001, Chandran Goodchild, Smruti R. Sarangi EHDSktch: A Generic Low Power Architecture for Sketching in Energy Harvesting Devices. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yasuaki Isshiki, Dai Suzuki, Ryo Ishida, Kousuke Miyaji A 65nm CMOS Process Li-ion Battery Charging Cascode SIDO Boost Converter with 89% Maximum Efficiency for RF Wireless Power Transfer Receiver. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Kazim Ergun, Raid Ayoub, Pietro Mercati, Dancheng Liu, Tajana Rosing Energy and QoS-Aware Dynamic Reliability Management of IoT Edge Computing Systems. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yi-Shen Chen, Chun-Feng Wu, Yuan-Hao Chang 0001, Tei-Wei Kuo A Write-friendly Arithmetic Coding Scheme for Achieving Energy-Efficient Non-Volatile Memory Systems. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Hanbo Sun, Zhenhua Zhu, Yi Cai 0003, Shulin Zeng, Kaizhong Qiu, Yu Wang 0002, Huazhong Yang Reliability-Aware Training and Performance Modeling for Processing-In-Memory Systems. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Vladimir Herdt, Sören Tempel, Daniel Große, Rolf Drechsler Mutation-based Compliance Testing for RISC-V. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Shihao Song, Anup Das 0001, Onur Mutlu, Nagarajan Kandasamy Aging-Aware Request Scheduling for Non-Volatile Main Memory. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Zhiyao Xie, Rongjian Liang, Xiaoqing Xu, Jiang Hu, Yixiao Duan, Yiran Chen 0001 Net2: A Graph Attention Network Method Customized for Pre-Placement Net Length Estimation. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Brian Crafton, Samuel Spetalnick, Arijit Raychowdhury Merged Logic and Memory Fabrics for AI Workloads. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Souvik Kundu 0002, Mahdi Nazemi, Peter A. Beerel, Massoud Pedram DNR: A Tunable Robust Pruning Framework Through Dynamic Network Rewiring of DNNs. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yu-Jin Xie, Kuan-Yu Chen, Wai-Kei Mak Manufacturing-Aware Power Staple Insertion Optimization by Enhanced Multi-Row Detailed Placement Refinement. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Benzheng Li, Qi Du, Dingcheng Liu, Jingchong Zhang, Gengjie Chen, Hailong You Placement for Wafer-Scale Deep Learning Accelerator. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Julian Leonhard, Mohamed Elshamy, Marie-Minerve Louërat, Haralampos-G. D. Stratigopoulos Breaking Analog Biasing Locking Techniques via Re-Synthesis. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Ting Liu 0016, Yuzhuo Fu, Yan Zhang, Bin Shi A Hierarchical Assessment Strategy on Soft Error Propagation in Deep Learning Controller. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Ting-Chou Lin, Devon J. Merrill, Yen-Yi Wu, Chester Holtz, Chung-Kuan Cheng A Unified Printed Circuit Board Routing Algorithm With Complicated Constraints and Differential Pairs. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Tao-Chun Yu, Shao-Yun Fang, Hsien-Shih Chiu, Kai-Shun Hu, Chin-Hsiung Hsu, Philip Hui-Yuh Tai, Cindy Chin-Fang Shen Machine Learning-based Structural Pre-route Insertability Prediction and Improvement with Guided Backpropagation. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Ping-Xiang Chen, Shuo-Han Chen, Yuan-Hao Chang 0001, Yu-Pei Liang, Wei-Kuan Shih Facilitating the Efficiency of Secure File Data and Metadata Deletion on SMR-based Ext4 File System. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Shinya Tanimura, Akira Tsuchiya, Toshiyuki Inoue, Keiji Kishine Supply Noise Reduction Filter for Parallel Integrated Transimpedance Amplifiers. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Siqi Shang, Qihong Wu, Tianyu Wang, Zili Shao LiteIndex: Memory-Efficient Schema-Agnostic Indexing for JSON documents in SQLite. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Wenjian Yu, Mingye Song, Ming Yang 0033 Advancements and Challenges on Parasitic Extraction for Advanced Process Technologies. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Zhepeng Wang, Yawen Wu, Zhenge Jia, Yiyu Shi 0001, Jingtong Hu Lightweight Run-Time Working Memory Compression for Deployment of Deep Neural Networks on Resource-Constrained MCUs. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Hongjia Li, Geng Yuan, Wei Niu 0002, Yuxuan Cai, Mengshu Sun, Zhengang Li, Bin Ren, Xue Lin, Yanzhi Wang Real-Time Mobile Acceleration of DNNs: From Computer Vision to Medical Applications. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 3979 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license