The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for DVFS with no syntactic query expansion in all metadata.

Publication years (Num. hits)
2001-2005 (17) 2006 (17) 2007 (30) 2008 (36) 2009 (42) 2010 (41) 2011 (26) 2012 (38) 2013 (34) 2014 (48) 2015 (60) 2016 (40) 2017 (32) 2018 (33) 2019 (47) 2020 (27) 2021 (29) 2022 (31) 2023 (27) 2024 (6)
Publication types (Num. hits)
article(213) inproceedings(445) phdthesis(3)
Venues (Conferences, Journals, ...)
ISLPED(26) DAC(22) CoRR(19) DATE(16) IEEE Trans. Comput. Aided Des....(14) ISCAS(13) IEEE Trans. Computers(12) IEEE J. Solid State Circuits(10) MICRO(10) PATMOS(10) ISSCC(9) ICCD(8) IPDPS(8) SoCC(8) ISQED(7) J. Supercomput.(7) More (+10 of total 269)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 255 occurrences of 138 keywords

Results
Found 661 publication records. Showing 661 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
17Yuan Yao 0009, Zhonghai Lu Pursuing Extreme Power Efficiency With PPCC Guided NoC DVFS. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Mehrzad Nejat, Madhavan Manivannan, Miquel Pericàs, Per Stenström Coordinated management of DVFS and cache partitioning under QoS constraints to save energy in multi-core systems. Search on Bibsonomy J. Parallel Distributed Comput. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Christoph W. Kessler, Sebastian Litzinger, Jörg Keller 0001 Static Scheduling of Moldable Streaming Tasks With Task Fusion for Parallel Systems With DVFS. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Basireddy Karunakar Reddy, Amit Kumar Singh 0002, Bashir M. Al-Hashimi, Geoff V. Merrett AdaMD: Adaptive Mapping and DVFS for Energy-Efficient Heterogeneous Multicores. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Zuomin Zhu, Wei Zhang 0012, Vivek Chaturvedi, Amit Kumar Singh 0002 Energy Minimization for Multicore Platforms Through DVFS and VR Phase Scaling With Comprehensive Convex Model. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Cheng Zhuo, Shaoheng Luo, Houle Gan, Jiang Hu, Zhiguo Shi 0001 Noise-Aware DVFS for Efficient Transitions on Battery-Powered IoT Devices. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Mirsaeid Hosseini Shirvani, Amir Masoud Rahmani, Amir Sahafi A survey study on virtual machine migration and server consolidation techniques in DVFS-enabled cloud datacenter: Taxonomy and challenges. Search on Bibsonomy J. King Saud Univ. Comput. Inf. Sci. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Parham Haririan DVFS and Its Architectural Simulation Models for Improving Energy Efficiency of Complex Embedded Systems in Early Design Phase. Search on Bibsonomy Comput. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Bhanu Pratap Singh, Ananda Kumar Subramanian, Xiao-Zhi Gao 0001, Maulik Kohli, Sanskar Katiyar A Study on Energy Consumption of DVFS and Simple VM Consolidation Policies in Cloud Computing Data Centers Using CloudSim Toolkit. Search on Bibsonomy Wirel. Pers. Commun. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Mathias Gottschlag, Yussuf Khalil, Frank Bellosa Dim Silicon and the Case for Improved DVFS Policies. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
17Somdip Dey, Amit Kumar Singh 0002, Xiaohang Wang 0001, Klaus Dieter McDonald-Maier DATE: Defense Against TEmperature Side-Channel Attacks in DVFS Enabled MPSoCs. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
17Shervin Hajiamini, Behrooz A. Shirazi, Aaron S. Crandall, Hassan Ghasemzadeh 0001 A Dynamic Programming Framework for DVFS-Based Energy-Efficiency in Multicore Systems. Search on Bibsonomy IEEE Trans. Sustain. Comput. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Hadeer A. Hassan Hosny, Sameh A. Salem, Elsayed M. Saad A smart energy and reliability aware scheduling algorithm for workflow execution in DVFS-enabled cloud environment. Search on Bibsonomy Future Gener. Comput. Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Christoph W. Kessler, Sebastian Litzinger, Jörg Keller 0001 Robustness and Energy-elasticity of Crown Schedules for Sets of Parallelizable Tasks on Many-core Systems with DVFS. Search on Bibsonomy PDP The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Ke Yu, Yun Yang 0001, Hongwang Xiao, Jinjun Chen An Improved DVFS Algorithm for Energy-Efficient Real-time Task Scheduling. Search on Bibsonomy HPCC/DSS/SmartCity The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Jianzhou Mao, Tathagata Bhattacharya, Xiaopu Peng, Ting Cao, Xiao Qin 0001 Modeling Energy Consumption of Virtual Machines in DVFS-Enabled Cloud Data Centers. Search on Bibsonomy IPCCC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Rafael Follmann Faccenda, Carlos Gabriel de Araujo Gewehr, Antonio Carlos Schneider Beck, Mateus B. Rutzig Maximizing Throughput-per-Joule of a Hybrid Communication Infrastructure Through a Software-Hardware based DVFS Mechanism. Search on Bibsonomy SBCCI The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Zhiyuan Zhou, Nghia Tang, Bai Noi Nguyen, Wookpyo Hong, Partha Pratim Pande, Deukhyoun Heo A Wide Output Voltage Range Single-Input-Multi-Output Hybrid DC-DC Converter Achieving 87.5% Peak Efficiency With a Fast Response Time and Low Cross Regulation for DVFS Applications. Search on Bibsonomy CICC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Pranay Prabhat, Benoît Labbé, Graham Knight, Anand Savanth, Jonas Svedas, Matthew J. Walker, Supreet Jeloka, Philex Ming-Yan Fan, Fernando García-Redondo, Thanusree Achuthan, James Myers 27.2 M0N0: A Performance-Regulated 0.8-to-38MHz DVFS ARM Cortex-M33 SIMD MCU with 10nW Sleep Power. Search on Bibsonomy ISSCC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Harshit Kumar, Nikhil Chawla, Saibal Mukhopadhyay BiasP: a DVFS based exploit to undermine resource allocation fairness in linux platforms. Search on Bibsonomy ISLPED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Tung-Liang Lin, Sao-Jie Chen DVFS Considering Spatial Correlation Timing and Process-Voltage-Temperature Variations. Search on Bibsonomy SoCC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Sofia Mäkikyrö, Samuli Tuoriniemi, Risto Anttila, Lauri Koskinen Execution Frequency and Energy Optimization for DVFS-enabled, Near-threshold Processors. Search on Bibsonomy ACIT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Francisco Mendes, Pedro Tomás, Nuno Roma Exploiting Non-conventional DVFS on GPUs: Application to Deep Learning. Search on Bibsonomy SBAC-PAD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Rui Li, Heng Yu 0001, Weixiong Jiang, Yajun Ha DVFS-Based Scrubbing Scheduling for Reliability Maximization on Parallel Tasks in SRAM-based FPGAs. Search on Bibsonomy DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Sambit Kumar Mishra, Md Akram Khan, Sampa Sahoo, Bibhudatta Sahoo 0001 Allocation of energy-efficient task in cloud using DVFS. Search on Bibsonomy Int. J. Comput. Sci. Eng. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Behnam Barzegar, Homayun Motameni, Ali Movaghar 0001 EATSDCD: A green energy-aware scheduling algorithm for parallel task-based application using clustering, duplication and DVFS technique in cloud datacenters. Search on Bibsonomy J. Intell. Fuzzy Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Pascal Andreas Meinerzhagen, Carlos Tokunaga, Andres Malavasi, Vaibhav A. Vaidya, Ashwin Mendon, Deepak Mathaikutty, Jaydeep Kulkarni, Charles Augustine, Minki Cho, Stephen T. Kim, George E. Matthew, Rinkle Jain, Joseph F. Ryan 0002, Chung-Ching Peng, Somnath Paul, Sriram R. Vangal, Brando Perez Esparza, Luis Cuellar, Michael Woodman, Bala Iyer, Subramaniam Maiyuran, Gautham N. Chinya, Xiang Zou, Yuyun Liao, Krishnan Ravichandran, Hong Wang, Muhammad M. Khellah, James W. Tschanz, Vivek De An Energy-Efficient Graphics Processor in 14-nm Tri-Gate CMOS Featuring Integrated Voltage Regulators for Fine-Grain DVFS, Retentive Sleep, and ${V}_{\text{MIN}}$ Optimization. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Seyed Morteza Nabavinejad, Hassan Hafez-Kolahi, Sherief Reda Coordinated DVFS and Precision Control for Deep Neural Networks. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Thomas Rauber, Gudula Rünger A scheduling selection process for energy-efficient task execution on DVFS processors. Search on Bibsonomy Concurr. Comput. Pract. Exp. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Mohammad Sajid, Zahid Raza Energy-efficient quantum-inspired stochastic Q-HypE algorithm for batch-of-stochastic-tasks on heterogeneous DVFS-enabled processors. Search on Bibsonomy Concurr. Comput. Pract. Exp. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Yang Qin 0002, Gang Zeng, Ryo Kurachi, Yixiao Li, Yutaka Matsubara, Hiroaki Takada Energy-Efficient Intra-Task DVFS Scheduling Using Linear Programming Formulation. Search on Bibsonomy IEEE Access The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Shubhangi K. Gawali, Biju K. Raveendran DPVFS: a dynamic procrastination cum DVFS scheduler for multi-core hard real-time systems. Search on Bibsonomy Int. J. Embed. Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Camélia Slimani, Stéphane Rubini, Jalil Boukhobza HyMAD: a hybrid memory-aware DVFS strategy. Search on Bibsonomy SIGBED Rev. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Satoshi Imamura, Eiji Yoshida, Kazuichi Oe Reducing CPU Power Consumption with Device Utilization-Aware DVFS for Low-Latency SSDs. Search on Bibsonomy IEICE Trans. Inf. Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Somdip Dey, Amit Kumar Singh 0002, Klaus Dieter McDonald-Maier P-EdgeCoolingMode: an agent-based performance aware thermal management unit for DVFS enabled heterogeneous MPSoCs. Search on Bibsonomy IET Comput. Digit. Tech. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17João Guerreiro 0004, Aleksandar Ilic, Nuno Roma, Pedro Tomás Modeling and Decoupling the GPU Power Consumption for Cross-Domain DVFS. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17João Guerreiro 0004, Aleksandar Ilic, Nuno Roma, Pedro Tomás DVFS-aware application classification to improve GPGPUs energy efficiency. Search on Bibsonomy Parallel Comput. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Jui-Hung Hsieh, Jun-An Cai, Yi-Ning Wang, Zhe-Yu Guo ML-Assisted DVFS-Aware HEVC Motion Estimation Design Scheme for Mobile APSoC. Search on Bibsonomy IEEE Syst. J. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Yiming Ouyang, Qi Wang 0027, Lizhu Hu, Huaguo Liang DVFS Based Error Avoidance Strategy in Wireless Network-on-Chip. Search on Bibsonomy J. Electron. Test. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Mehrzad Nejat, Madhavan Manivannan, Miquel Pericàs, Per Stenström Coordinated Management of DVFS and Cache Partitioning under QoS Constraints to Save Energy in Multi-Core Systems. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
17Jakub Krzywda, Ahmed Ali-Eldin, Trevor E. Carlson, Per-Olov Östberg, Erik Elmroth Power-Performance Tradeoffs in Data Center Servers: DVFS, CPU pinning, Horizontal, and Vertical Scaling. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
17El Mehdi Benhani, Lilian Bossuet DVFS as a Security Failure of TrustZone-enabled Heterogeneous SoC. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
17Zhenheng Tang, Yuxin Wang, Qiang Wang 0022, Xiaowen Chu 0001 The Impact of GPU DVFS on the Energy and Performance of Deep Learning: an Empirical Study. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
17Georgios L. Stavrinides, Helen D. Karatza An energy-efficient, QoS-aware and cost-effective scheduling approach for real-time workflow applications in cloud computing systems utilizing DVFS and approximate computations. Search on Bibsonomy Future Gener. Comput. Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Asfa Toor, Saif ul Islam, Nimra Sohail, Adnan Akhunzada, Jalil Boudjadar, Hasan Ali Khattak, Ikram Ud Din, Joel J. P. C. Rodrigues Energy and performance aware fog computing: A case of DVFS and green renewable energy. Search on Bibsonomy Future Gener. Comput. Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Beomsik Kim, Hoeseok Yang Temperature Sensor Assisted Lifetime Enhancement of Satellite Embedded Systems via Multi-Core Task Mapping and DVFS. Search on Bibsonomy Sensors The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Sijie Pan, Philip K. T. Mok A 10-MHz Hysteretic-Controlled Buck Converter With Single On/Off Reference Tracking Using Turning-Point Prediction for DVFS Application. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Thomas Rauber, Gudula Rünger DVFS RK: Performance and Energy Modeling of Frequency-Scaled Multithreaded Runge-Kutta Methods. Search on Bibsonomy PDP The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Michail Noltsis, Nikolaos Zambelis, Francky Catthoor, Dimitrios Soudris A Synergy of a Closed-Loop DVFS Controller and CPU Hot-Plug For Run-Time Thermal Management in Multicore Systems. Search on Bibsonomy PATMOS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Paul J. Kuehn, Maggie Ezzat Mashaly DVFS-Power Management and Performance Engineering of Data Center Server Clusters. (PDF / PS) Search on Bibsonomy WONS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Amirhossein Esmaili, Mahdi Nazemi, Massoud Pedram Modeling processor idle times in MPSoC platforms to enable integrated DPM, DVFS, and task scheduling subject to a hard deadline. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Christoph W. Kessler, Sebastian Litzinger, Jörg Keller 0001 Adaptive Crown Scheduling for Streaming Tasks on Many-Core Systems with Discrete DVFS. Search on Bibsonomy Euro-Par Workshops The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Mohamed Gadou, Sankeerth Reddy Mogili, Tania Banerjee, Sanjay Ranka Multi-objective Optimization on DVFS based Hybrid Systems. Search on Bibsonomy IGSC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Bilge Acun, Kavitha Chandrasekar, Laxmikant V. Kalé Fine-Grained Energy Efficiency Using Per-Core DVFS with an Adaptive Runtime System. Search on Bibsonomy IGSC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Mohak Chadha, Michael Gerndt Modelling DVFS and UFS for Region-Based Energy Aware Tuning of HPC Applications. Search on Bibsonomy IPDPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Dhruv Gajaria, Tosiron Adegbija ARC: DVFS-aware asymmetric-retention STT-RAM caches for energy-efficient multicore processors. Search on Bibsonomy MEMSYS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Nicolas Melot, Christoph W. Kessler, Patrick Eitschberger, Jörg Keller 0001 Co-Optimizing Core Allocation, Mapping and DVFS in Streaming Programs with Moldable Tasks for Energy Efficient Execution on Manycore Architectures. Search on Bibsonomy ACSD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Zhenheng Tang, Yuxin Wang, Qiang Wang 0022, Xiaowen Chu 0001 The Impact of GPU DVFS on the Energy and Performance of Deep Learning: an Empirical Study. Search on Bibsonomy e-Energy The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Lubomir Riha, Ondrej Vysocky, Andrea Bartolini Evaluation of DVFS and Uncore Frequency Tuning Under Power Capping on Intel Broadwell Architecture. Search on Bibsonomy PARCO The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Somdip Dey, Amit Kumar Singh 0002, Xiaohang Wang 0001, Klaus Dieter McDonald-Maier DeadPool: Performance Deadline Based Frequency Pooling and Thermal Management Agent in DVFS Enabled MPSoCs. Search on Bibsonomy CSCloud/EdgeCom The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Somdip Dey, Amit Kumar Singh 0002, Sangeet Saha, Xiaohang Wang 0001, Klaus Dieter McDonald-Maier RewardProfiler: A Reward Based Design Space Profiler on DVFS Enabled MPSoCs. Search on Bibsonomy CSCloud/EdgeCom The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Miguel E. Perez, Michael A. Sperling, Timothy E. Diemoz, John F. Bulzacchelli, Zeynep Toprak Deniz Distributed Network of LDO Microregulators Providing Submicrosecond DVFS and IR Drop Compensation for a 24-Core Microprocessor in 14nm SOI CMOS. Search on Bibsonomy CICC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Roberto Medina 0001, Liliana Cucu-Grosjean Work-in-Progress: Probabilistic System-Wide DVFS for Real-Time Embedded Systems. Search on Bibsonomy RTSS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Haider Ali 0001, Umair Ullah Tariq, Lu Liu 0001, John Panneerselvam, Xiaojun Zhai Energy Optimization of Streaming Applications in IoT on NoC Based Heterogeneous MPSoCs using Re-Timing and DVFS. Search on Bibsonomy SmartWorld/SCALCOM/UIC/ATC/CBDCom/IOP/SCI The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Kyung-Soo Ha, Chang-Kyo Lee, Dongkeon Lee, Daesik Moon, Jin-Hun Jang, Hyong-Ryol Hwang, Hyung-Joon Chi, Junghwan Park, Seungjun Shin, Dukha Park, Sang-Yun Kim 0001, Sukhyun Lim, Kiwon Park, YeonKyu Choi, Young-Hwa Kim, Younghoon Son, Hyunyoon Cho, Byongwook Na, Hyo-Joo Ahn, Seungseob Lee, Seouk-Kyu Choi, Youn-Sik Park, Seok-Hun Hyun, Soobong Chang, Hyuck-Joon Kwon, Jung-Hwan Choi, Tae-Young Oh, Young-Soo Sohn, Kwang-Il Park, Seong-Jin Jang A 7.5Gb/s/pin LPDDR5 SDRAM With WCK Clocking and Non-Target ODT for High Speed and With DVFS, Internal Data Copy, and Deep-Sleep Mode for Low Power. Search on Bibsonomy ISSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Kuozhong Zhang, Junying Huang, Jing Ye 0001, Xiaochun Ye, Da Wang, Dongrui Fan, Huawei Li 0001, Xiaowei Li 0001, Zhimin Zhang 0004 iATPG: Instruction-level Automatic Test Program Generation for Vulnerabilities under DVFS attack. Search on Bibsonomy IOLTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Junying Huang, Jing Ye 0001, Xiaochun Ye, Da Wang, Dongrui Fan, Huawei Li 0001, Xiaowei Li 0001, Zhimin Zhang 0004 Instruction Vulnerability Test and Code Optimization Against DVFS Attack. Search on Bibsonomy ITC-Asia The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Adit D. Singh An Adaptive Approach to Minimize System Level Tests Targeting Low Voltage DVFS Failures. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Najar Yousra, Samir Ben Ahmed Intelligent Online Configuration for DVFS Multiprocessor Architecture: Fuzzy Approach. Search on Bibsonomy IEA/AIE The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Somdip Dey, Enrique Zaragoza Guajardo, Basireddy Karunakar Reddy, Xiaohang Wang 0001, Amit Kumar Singh 0002, Klaus D. McDonald-Maier EdgeCoolingMode: An Agent Based Thermal Management Mechanism for DVFS Enabled Heterogeneous MPSoCs. Search on Bibsonomy VLSID The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Ali Manzak, Sadi Evren Seker Low Power Design for DVFS Capable Software. Search on Bibsonomy UEMCON The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Basireddy Karunakar Reddy, Amit Kumar Singh 0002, Dwaipayan Biswas, Geoff V. Merrett, Bashir M. Al-Hashimi Inter-Cluster Thread-to-Core Mapping and DVFS on Heterogeneous Multi-Cores. Search on Bibsonomy IEEE Trans. Multi Scale Comput. Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Saleh Atiewi, Salman Yussof, Mohd Ezanee Bin Rusli, Mutasem Zalloum A power saver scheduling algorithm using DVFS and DNS techniques in cloud computing data centres. Search on Bibsonomy Int. J. Grid Util. Comput. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Fakhruddin Muhammad Mahbub Ul Islam, Man Lin, Laurence T. Yang, Kim-Kwang Raymond Choo Task aware hybrid DVFS for multi-core real-time systems using machine learning. Search on Bibsonomy Inf. Sci. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Zhonghai Lu, Yuan Yao 0009 Thread Voting DVFS for Manycore NoCs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Dazhao Cheng, Xiaobo Zhou 0002, Palden Lama, Mike Ji, Changjun Jiang Energy Efficiency Aware Task Assignment with DVFS in Heterogeneous Hadoop Clusters. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Yahia Benmoussa, Eric Senn, Nicolas Derouineau, Nicolas Tizon, Jalil Boukhobza Joint DVFS and Parallelism for Energy Efficient and Low Latency Software Video Decoding. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Sajjad Nouri, Davide Rossi, Jari Nurmi Power mitigation of a heterogeneous multicore architecture on FPGA/ASIC by DFS/DVFS techniques. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Sina Shahhosseini, Kasra Moazzemi, Amir M. Rahmani, Nikil D. Dutt On the feasibility of SISO control-theoretic DVFS for power capping in CMPs. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Lei Mo, Angeliki Kritikakou, Olivier Sentieys Energy-Quality-Time Optimized Task Mapping on DVFS-Enabled Multicores. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Thomas Rauber, Gudula Rünger, Matthias Stachowski Performance and energy metrics for multi-threaded applications on DVFS processors. Search on Bibsonomy Sustain. Comput. Informatics Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Amirhossein Esmaili, Mahdi Nazemi, Massoud Pedram Modeling Processor Idle Times in MPSoC Platforms to Enable Integrated DPM, DVFS, and Task Scheduling Subject to a Hard Deadline. Search on Bibsonomy CoRR The full citation details ... 2018 DBLP  BibTeX  RDF
17Jakub Krzywda, Ahmed Ali-Eldin, Trevor E. Carlson, Per-Olov Östberg, Erik Elmroth Power-performance tradeoffs in data center servers: DVFS, CPU pinning, horizontal, and vertical scaling. Search on Bibsonomy Future Gener. Comput. Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Lei Mo, Angeliki Kritikakou, Olivier Sentieys Controllable QoS for Imprecise Computation Tasks on DVFS Multicores With Time and Energy Constraints. Search on Bibsonomy IEEE J. Emerg. Sel. Topics Circuits Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Monire Safari, Reihaneh Khorsand Energy-aware scheduling algorithm for time-constrained workflow tasks in DVFS-enabled cloud environment. Search on Bibsonomy Simul. Model. Pract. Theory The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Huazhong Liu, Baoshun Liu, Laurence T. Yang, Man Lin, Yuhui Deng, Kashif Bilal, Samee U. Khan Thermal-Aware and DVFS-Enabled Big Data Task Scheduling for Data Centers. Search on Bibsonomy IEEE Trans. Big Data The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Tingming Wu, Haifeng Gu, Junlong Zhou, Tongquan Wei, Xiao Liu 0004, Mingsong Chen Soft error-aware energy-efficient task scheduling for workflow applications in DVFS-enabled cloud. Search on Bibsonomy J. Syst. Archit. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Sambit Kumar Mishra, Sonali Mishra, Mohit Kumar 0004, Bibhudatta Sahoo 0001, Deepak Puthal, Santosh Kumar Bharti VM Selection using DVFS Technique to Minimize Energy Consumption in Cloud System. Search on Bibsonomy ICIT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Solomon Abera, M. Balakrishnan, Anshul Kumar Performance-Energy Trade-off in CMPs with Per-Core DVFS. Search on Bibsonomy ARCS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Giuseppe Massari, Federico Terraneo, Michele Zanella, Davide Zoni Towards Fine-Grained DVFS in Embedded Multi-core CPUs. Search on Bibsonomy ARCS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Charles Cardoso De Oliveira, Arthur Francisco Lorenzon, Antonio Carlos Schneider Beck Automatic Tuning TLP and DVFS for EDP with a Non-intrusive Genetic Algorithm Framework. Search on Bibsonomy SBESC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Yu He, Lin Ma, Chuanhe Huang Online Demand Response of GPU Cloud Computing with DVFS. Search on Bibsonomy IWQoS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17El Mehdi Benhani, Lilian Bossuet DVFS as a Security Failure of TrustZone-enabled Heterogeneous SoC. Search on Bibsonomy ICECS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Jehee Cha, Jiho Kim, Yongjun Park 0001 Core-level DVFS for Spatial Multitasking GPUs. Search on Bibsonomy TENCON The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Hrachya V. Astsatryan, Wahi Narsisian, Armen Poghosyan, Aram A. Shahinyan Performance impact of DVFS for molecular dynamics simulations on Tesla K40 GPU. Search on Bibsonomy MIPRO The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Robert Basmadjian, Hermann de Meer Modelling and Analysing Conservative Governor of DVFS-enabled Processors. Search on Bibsonomy e-Energy The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Renato Melo, Vinicius Petrucci Eficiência Energética em Navegação Web usando DVFS. Search on Bibsonomy SBRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Pascal Meinerzhagen, Carlos Tokunaga, Andres Malavasi, Vaibhav A. Vaidya, Ashwin Mendon, Deepak Mathaikutty, Jaydeep Kulkarni, Charles Augustine, Minki Cho, Stephen T. Kim, George E. Matthew, Rinkle Jain, Joseph F. Ryan 0002, Chung-Ching Peng, Somnath Paul, Sriram R. Vangal, Brando Perez Esparza, Luis Cuellar, Michael Woodman, Bala Iyer, Subramaniam Maiyuran, Gautham N. Chinya, Chris Zou, Yuyun Liao, Krishnan Ravichandran, Hong Wang, Muhammad M. Khellah, James W. Tschanz, Vivek De An energy-efficient graphics processor featuring fine-grain DVFS with integrated voltage regulators, execution-unit turbo, and retentive sleep in 14nm tri-gate CMOS. Search on Bibsonomy ISSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Bishwajit Dutta, Vignesh Adhinarayanan, Wu-chun Feng GPU power prediction via ensemble machine learning for DVFS space exploration. Search on Bibsonomy CF The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Giulia Santoro, Mario R. Casu, Valentino Peluso, Andrea Calimera, Massimo Alioto Design-Space Exploration of Pareto-Optimal Architectures for Deep Learning with DVFS. Search on Bibsonomy ISCAS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 661 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license