The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ESSCIRC"( http://dblp.L3S.de/Venues/ESSCIRC )

URL (DBLP): http://dblp.uni-trier.de/db/conf/esscirc

Publication years (Num. hits)
2003 (175) 2004 (115) 2005 (128) 2007 (121) 2008 (122) 2009 (113) 2010 (124) 2011 (133) 2012 (121) 2013 (109) 2014 (113) 2015 (102) 2016 (124) 2017 (96) 2018 (94) 2019 (97) 2021 (125) 2022 (132) 2023 (129)
Publication types (Num. hits)
inproceedings(2254) proceedings(19)
Venues (Conferences, Journals, ...)
ESSCIRC(2273)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
No Growbag Graphs found.

Results
Found 2273 publication records. Showing 2273 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Junjie Mu, Chengshuo Yu, Tony Tae-Hyoung Kim, Bongjin Kim A Scalable Bit-Serial Computing Hardware Accelerator for Solving 2D/3D Partial Differential Equations Using Finite Difference Method. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Manuel Escudero, Sabina Spiga, Mauro Di Marco, Mauro Forti, Giacomo Innocenti, Alberto Tesi, Fernando Corinto, Stefano Brivio Physical Implementation of a Tunable Memristor-based Chua's Circuit. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Amitesh Sridharan, Shaahin Angizi, Sai Kiran Cherupally, Fan Zhang 0069, Jae-Sun Seo, Deliang Fan A 1.23-GHz 16-kb Programmable and Generic Processing-in-SRAM Accelerator in 65nm. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Agata Iesurum, Davide Manente, Fabio Padovan, Matteo Bassi, Andrea Bevilacqua A 24 GHz Quadrature VCO Based on Coupled PLL with -134 dBc/Hz Phase Noise at 10 MHz Offset in 28 nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Paolo Crovetti, Roberto Rubino, Pedro Toledo, Francesco Musolino, Hamilton Klimach, Yong Chen 0005, Anna Richelli A 0.01mm2, 0.4V-VDD, 4.5nW-Power DC-Coupled Digital Acquisition Front-End Based on Time-Multiplexed Digital Differential Amplification. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Wantong Li, James Read, Hongwu Jiang, Shimeng Yu A 40nm RRAM Compute-in-Memory Macro with Parallelism-Preserving ECC for Iso-Accuracy Voltage Scaling. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Domenico Arrigo, Claudio Adragna, Vincenzo Marano, Rachela Pozzi, Fulvio Pulicelli, Francesco Pulvirenti The Next "Automation Age": How Semiconductor Technologies Are Changing Industrial Systems and Applications. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1ByungJun Kim, Jaehan Park, Seunghyun Moon, Kiseo Kang, Jae-Yoon Sim Configurable Energy-Efficient Lattice-Based Post-Quantum Cryptography Processor for IoT Devices. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Fumihiko Tachibana, Huy Cu Ngo, Go Urakawa, Takashi Toi, Mitsuyuki Ashida, Yuta Tsubouchi, Mai Nozawa, Junji Wadatsumi, Hiroyuki Kobayashi, Jun Deguchi A 56-Gb/s PAM4 Transceiver with False-Lock-Aware Locking Scheme for Mueller-Müller CDR. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Beomsoo Park, Nima Mazhari A 183F2 Gate Leakage-Based Physically Unclonable Function With Area Efficient Current Tilting-Based Masking Scheme. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Hao Gao 0001, Sina Mortezazadeh Mahani, David Seebacher, Matteo Bassi, Gernot Hueber A 24-30 GHz Broadband Doherty PA with a maximum 15.37 dBm Pavg and 14.6% PAEavg in 0.13 μm SiGe for 400 MHz BW 5G NR. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Minzhe Tang, Yi Zhang 0092, Jian Pang, Atsushi Shirane, Kenichi Okada A 28GHz Area-Efficient CMOS Vector-Summing Phase Shifter Utilizing Phase-Inverting Type-I Poly-Phase Filter for 5G New Radio. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Ahmed Trabelsi, Carlo Cagli, Tifenn Hirtzlin, Olga Cueto, Marie Claire Cyrille, Elisa Vianello, Valentina Meli, Veronique Sousa, Guillaume Bourgeois, François Andrieu Frequency modulation of conductance level in PCM device for neuromorphic applications. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Farshid Ashtiani, Firooz Aflatouni Integrated Optical Phased Arrays on Silicon. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Stefan Reich, Markus Sporer, Joachim Becker, Stefan B. Rieger, Martin Schüttler, Maurits Ortmanns A 32-ch Neuromodulator with redundant Voltage Monitors avoiding Blocking Capacitors. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Jens Karrenbauer, Simon C. Klein, Sven Schönewald, Lukas Gerlach 0001, Meinolf Blawat, Jens Benndorf, Holger Blume SmartHeaP - A High-level Programmable, Low Power, and Mixed-Signal Hearing Aid SoC in 22nm FD-SOI. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Antonio Aprile, Michele Folz, Daniele Gardino, Piero Malcovati, Edoardo Bonizzoni A Compact 2.5-nJ Energy/Conversion NPN-Based Temperature-to-Digital Converter with a Fully Current-Mode Processing Architecture. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Paul Xuanyuanliang Huang, Daniel Jang, Yannis P. Tsividis, Mingoo Seok INTIACC: A 32-bit Floating-Point Programmable Custom-ISA Accelerator for Solving Classes of Partial Differential Equations. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Orazio Aiello, Massimo Alioto Capacitance-Based Voltage Regulation- and Reference-Free Temperature-to-Digital Converter down to 0.3 V and 2.5 nW for Direct Harvesting. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Mathieu Sicre, Megan Agnew, Christel Buj, Caroline Coutier, Dominique Golanski, Rémi Helleboid, Bastien Mamdy, Isobel Nicholson, Sara Pellegrini, Denis Rideau, David Roy 0001, Françis Calmon Statistical measurements and Monte-Carlo simulations of DCR in SPADs. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Massimo Alioto From Less Batteries to Battery-Less: Enabling A Greener World through Ultra-Wide Power-Performance Adaptation down to pWs. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Davide Tonietto The Future of Short Reach Interconnect. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Carl D'heer, Patrick Reynaert A 135 GHz 24 Gb/s Direct-Digital Demodulation 16-QAM Receiver in 28 nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Gabriel Guimaraes, Patrick Reynaert A D-Band mm-wave spectroscopy TX and RX in 28 nm CMOS with 15.6 dBm EIRP and 17.1 dB NF with integrated antennas. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Cheng Chen, Zhouchen Ma, Yaxin Liu, Zhenhong Liu, Linfeng Zhou, Yan Wu, Liang Qi, Yongfu Li 0002, Mohamad Sawan, Guoxing Wang, Jian Zhao 0004 A Sub-0.01° Phase Resolution 6.8-mW fNIRS Readout Circuit Employing a Mixer-First Frequency-Domain Architecture. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Rémi Dekimpe, David Bol Mixed-Signal Compensation of Tripolar Cuff Electrode Imbalance in a Low-Noise ENG Analog Front-End. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Adrian Kneip, Martin Lefebvre 0002, Julien Verecken, David Bol A 1-to-4b 16.8-POPS/W 473-TOPS/mm2 6T-based In-Memory Computing SRAM in 22nm FD-SOI with Multi-Bit Analog Batch-Normalization. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yuhao Ju, Shiyu Guo, Zixuan Liu, Tianyu Jia, Jie Gu 0001 A Differentiable Neural Computer for Logic Reasoning with Scalable Near-Memory Computing and Sparsity Based Enhancement. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Alexandre Flete, Christophe Viallon, Philippe Cathelin, Thierry Parra A Low-Loss 77 GHz Sub-Sampling Passive Mixer Integrated in a 28-nm CMOS Radar Receiver. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Morteza Tavakoli Taba, S. M. Hossein Naghavi, Ehsan Afshari A Review on the State-of-the-Art THz FMCW Radars Implemented on Silicon: Invited. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Jeff Shih-Chieh Chien, James F. Buckwalter A 111-149-GHz, Compact Power-combined Amplifier With 17.5-dBm Psat, 16.5% PAE in 22-nm CMOS FD-SOI. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Deniz Dosluoglu, Kun-Da Chu, Diego Peña-Colaiocco, Ivan Zhao, Visvesh Sathe 0001, Jacques C. Rudell A Reconfigurable Digital Beamforming V-Band Phased-Array Receiver. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Mohammad Ayaz Masud, Luis Hurtado, Gianluca Piazza Non-Volatile Ternary Content Addressable Memory based on Phase Change Nanoelectromechanical (NEM) Relay. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Hany Abolmagd, Raghav Subbaraman, Dinesh Bharadia, Sudip Shekhar Full-Duplex Wireless for (Joint-) Communication and Sensing. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1 48th IEEE European Solid State Circuits Conference, ESSCIRC 2022, Milan, Italy, September 19-22, 2022 Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Jonas Pelgrims, Kris Myny, Wim Dehaene A 24V Thin-Film Ultrasonic Driver for Haptic Feedback in Metal-Oxide Thin-Film Technology using Hybrid DLL Locking Architecture. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Meizhi Wang, Sirish Oruganti, Shanshan Xie, Raghavan Kumar, Sanu Mathew, Jaydeep P. Kulkarni Fine-Grained Electromagnetic Side-Channel Analysis Resilient Secure AES Core with Stacked Voltage Domains and Spatio-temporally Randomized Circuit Blocks. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Si-Yi Li, Zheng-Lun Huang, Sheng Cheng Lee, Ke-Horng Chen, Kuo-Lin Zheng, Ying-Hsi Lin, Shian-Ru Lin, Tsung-Yen Tsai A 4 to 40V Wide Input Range and Energy Re-Cycling High Power LiDAR Driver for 5% Efficiency Enhancement and 300m Long-distance Object Detection. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Alessandro Milozzi, Daniel Reiser, Andreas Drost, Thomas Neuner, Marc Tornow, Daniele Ielmini Thermal switching of TiO2-based RRAM for parameter extraction and neuromorphic engineering. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Liping Zhong, Hongzhi Wu, Weitao Wu, Wenbo Xiao, Xiongshi Luo, Dongfan Xu, Xuxu Cheng, Zhenghao Li, Taiyang Fan, Quan Pan 0002 A 2×50Gb/s Single-Ended MIMO PAM-4 Crosstalk Cancellation and Signal Reutilization Receiver in 28 nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Wei-Cheng Huang, Yuan-Jin Li, Yi-Hsiang Kao, Ke-Horng Chen, Kuo-Lin Zheng, Ying-Hsi Lin, Shian-Ru Lin, Tsung-Yen Tsai A Galvanic-Free Secondary-Side Control Flyback Converter with Digital Adaptive On-Time Control and Direct Sequence Spread Spectrum Technique for 15.5% Error Recovery Rate Improvement. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Marcella Carissimi, Chantal Auricchio, Emanuela Calvetti, Laura Capecchi, Mattia Luigi Torres, Stefano Zanchi, P. Gupta, Riccardo Zurla, Alessandro Cabrini, Daniele Gallinari, Fabio Disegni, Massimo Borghi, Elisabetta Palumbo, Andrea Redaelli, Marco Pasotti An Extended Temperature Range ePCM Memory in 90-nm BCD for Smart Power Applications. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Sangsu Jeong, Jeongwoo Park, Dongsuk Jeon A 28nm 1.644TFLOPS/W Floating-Point Computation SRAM Macro with Variable Precision for Deep Neural Network Inference and Training. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Sena Kato, Keito Yuasa, Michihiro Ide, Atsushi Shirane, Kenichi Okada A CMOS Full-Wave Switching Rectifier with Frequency Up-Down Conversion for 5G NR Wirelessly-Powered Relay Transceivers. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Jonah Van Assche, Georges G. E. Gielen A 10.4-ENOB 0.92-5.38 μW Event-Driven Level-Crossing ADC with Adaptive Clocking for Time-Sparse Edge Applications. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Justine Barbot, Jean Coignus, Nicolas Vaxelaire, Catherine Carabasse, Olivier Glorieux, Messaoud Bedjaoui, François Aussenac, François Andrieu, François Triozon, Laurent Grenouillet Interplay between charge trapping and polarization switching in MFDM stacks evidenced by frequency-dependent measurements. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Nicola Massari, Alessandro Tontini, Luca Parmesan, Matteo Perenzoni, Milos Grujic, Ingrid Verbauwhede, Thomas Strohm, Dayo Oshinubi, Ingo Herrmann, Andreas Brenneis A monolithic SPAD-based random number generator for cryptographic application. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Baibhab Chatterjee, K. Gaurav Kumar, Shulan Xiao, Gourab Barik, Krishna Jayant, Shreyas Sen A 1.8 μW 5.5 mm3 ADC-less Neural Implant SoC utilizing 13.2pJ/Sample Time-domain Bi-phasic Quasi-static Brain Communication with Direct Analog to Time Conversion. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Tim Gutheit Semiconductors take the driver's seat - challenges and opportunities for the car of the future. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Liangbo Lei, Cong Tao, Zhipeng Chen, Zhiliang Hong, Yumei Huang A 5.4-mW 50-MHz 29.3-dBm-IIP3 Fourth-Order Low-Pass Filter. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Seong Hoon Seo, Soosung Kim 0001, Sung Jun Jung, Sangwoo Kwon, Hyunseung Lee 0001, Jae W. Lee A 40nm 5.6TOPS/W 239GOPS/mm2 Self-Attention Processor with Sign Random Projection-based Approximation. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yingjie Chen, Marino De Jesus Guzman, Beomsoo Park, Nima Maghari A Direct Sensor Readout Circuit Using VCO-Driven Chopping with 42dB SNR at 800µVpp Input. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Sumin Lee, Ki-Beom Lee, Sunghwan Joo, Hong Keun Ahn, Junghyup Lee, Dohyung Kim 0006, Bumsub Ham, Seong-Ook Jung SIF-NPU: A 28nm 3.48 TOPS/W 0.25 TOPS/mm2 CNN Accelerator with Spatially Independent Fusion for Real-Time UHD Super-Resolution. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Oscar Castañeda, Luca Benini, Christoph Studer A 283 pJ/b 240 Mb/s Floating-Point Baseband Accelerator for Massive MU-MIMO in 22FDX. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Shreyas Kolala Venkataramanaiah, Jian Meng, Han-Sok Suh, Injune Yeo, Jyotishman Saikia, Sai Kiran Cherupally, Yichi Zhang 0006, Zhiru Zhang, Jae-sun Seo A 28nm 8-bit Floating-Point Tensor Core based CNN Training Processor with Dynamic Activation/Weight Sparsification. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Jie Lou, Christian Lanius, Florian Freye, Tim Stadtmann, Tobias Gemmeke All-Digital Time-Domain Compute-in-Memory Engine for Binary Neural Networks With 1.05 POPS/W Energy Efficiency. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Dong-Hyun Yoon, Kwang-Hyun Baek, Tony Tae-Hyoung Kim A 2.5 GHz 104 mW 57.35 dBc SFDR Non-linear DAC-based Direct-Digital Frequency Synthesizer in 65 nm CMOS Process. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Peng Cao, Danzhu Lu, Jiawei Xu 0001, Xiaoyang Zeng, Zhiliang Hong A 91.6% Peak Efficiency Time-Domain-Controlled Single-Inductor Triple-Output Step-Up Converter with ±7.5 to ±12V Bipolar Output Voltages. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Xiongshi Luo, Xuewei You, Jiahan Fu, Zhenghao Li, Liping Zhong, Taiyang Fan, Zhang Qiu, Wenbo Xiao, Yong Chen, Quan Pan 0002 A 112-Gb/s Single-Ended PAM-4 Transceiver Front-End for Reach Extension in Long-Reach Link. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Geethan Karunaratne, Michael Hersche, Jovin Langenegger, Giovanni Cherubini, Manuel Le Gallo, Urs Egger, Kevin Brew, Samuel Choi, Injo Ok, Mary Claire Silvestre, Ning Li, Nicole Saulnier, Victor Chan, Ishtiaq Ahsan, Vijay Narayanan, Luca Benini, Abu Sebastian, Abbas Rahimi In-memory Realization of In-situ Few-shot Continual Learning with a Dynamically Evolving Explicit Memory. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Steven Van Winckel, Alican Çaglar, Benjamin Gys, Steven Brebels, Anton Potocnik, Bertrand Parvais, Piet Wambacq, Jan Craninckx A 28nm 6.5-8.1GHz 1.16mW/qubit Cryo-CMOS System-an-Chip for Superconducting Qubit Readout. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Xinjian Liu, Shuo Li 0008, Benton H. Calhoun An 802pW 93% Peak Efficiency Buck Converter with 5.5×106 Dynamic Range Featuring Fast DVFS and Asynchronous Load-Transient Control. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Mathieu Sicre, Megan Agnew, Christel Buj, Jean Coignus, Dominique Golanski, Rémi Helleboid, Bastien Mamdy, Isobel Nicholson, Sara Pellegrini, Denis Rideau, David Roy 0001, Françis Calmon Dark Count Rate in Single-Photon Avalanche Diodes: Characterization and Modeling study. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Carlo Samori, Luca Bertulessi Digital PLLs: the modern timing reference for radar and communication systems. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Behzad Razavi Low-Power Techniques for Wireline Systems. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Arslan Riaz, Vaibhav Bansal, Amit Solomon, Wei An, Qijun Liu, Kevin Galligan, Ken R. Duffy, Muriel Médard, Rabia Tugce Yazicigil Multi-Code Multi-Rate Universal Maximum Likelihood Decoder using GRAND. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Nimesh Nadishka Miral, Danilo Manstretta, Rinaldo Castello A 17 mW 33 dBm IB-OIP3 0.5-1.5 GHz Bandwidth TIA Based on an Inductor-Stabilized OTA. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Min Kyu Song, Dong Yan, Dongsheng Brian Ma A 8.9W/mm2, 95.4%-Efficiency, CP-Length Tracking Switching Supply Modulator for 5G New Radio mmWave PA Arrays. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Maurits Ortmanns Wideband and Low-Power Delta-Sigma ADCs: State of the Art, Trends and Implementation Examples. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Rehman Akbar, Rana Azhar Shaheen, Timo Rahkonen, Tze Hin Cheung, Kari Stadius, Aarno Pärssinen A 38.5-to-60.5 GHz LNA with Wideband Combiner Supporting Cartesian Beamforming Architecture. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Hikmet Çeliker, Wim Dehaene, Kris Myny Dual-Input Pseudo-CMOS Logic for Digital Applications on Flexible Substrates. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Lixuan Zhu, Weiwei Shan, Jiaming Xu, Yicheng Lu AAD-KWS: a sub-µW keyword spotting chip with a zero-cost, acoustic activity detector from a 170nW MFCC feature extractor in 28nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Alper Karakuzulu, Mohamed Hussein Eissa, Dietmar Kissinger, Andrea Malignaggi A Broadband 110-170 GHz Frequency Multiplier by 4 Chain with 8 dBm Output Power in 130 nm BiCMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Woonghee Lee 0005, Minkyo Shim, Yunhee Lee, Heejin Yang, Han-Gon Ko, Woo-Seok Choi, Deog-Kyoon Jeong 0.37-pJ/b/dB PAM-4 Transmitter and Adaptive Receiver with Fixed Data and Threshold Levels for 12-m Automotive Camera Link. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Oner Hanay, Renato Negra 0.33 mm2 13.3 dB gain sub-6 GHz to 28 GHz transformer-coupled low-voltage upconversion mixer for 5G applications. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Surajit Kumar Nath, Junghwan Yoo, Jae-Sung Rieh, Daekeun Yoon A 253-280 GHz Wide Tuning Range VCO with -3.5 dBm Peak Output Power in 40-nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Hung-Chi Han, Farzan Jazaeri, Antonio A. D'Amico, Andrea Baschirotto, Edoardo Charbon, Christian C. Enz Cryogenic Characterization of 16 nm FinFET Technology for Quantum Computing. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Benoit Larras, Antoine Frappé A 43pJ per Inference CBNN-based Compute-in-sensor Associative Memory in 28nm FDSOI. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Mona Ezzadeen, Atreya Majumdar, Marc Bocquet, Bastien Giraud, Jean-Philippe Noël, François Andrieu, Damien Querlioz, Jean-Michel Portal Low-Overhead Implementation of Binarized Neural Networks Employing Robust 2T2R Resistive RAM Bridges. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Shuxin Ming, Jin Zhou 0001 A 19 GHz Circular-Geometry Quad-Core Tail-Filtering Class-F VCO with -115 dBc/Hz Phase Noise at 1 MHz Offset in 65-nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Abraham Gonzalez, Jerry Zhao, Ben Korpan, Hasan Genc, Colin Schmidt 0001, John Charles Wright, Ayan Biswas, Alon Amid, Farhana Sheikh, Anton Sorokin, Sirisha Kale, Mani Yalamanchi, Ramya Yarlagadda, Mark Flannigan, Larry Abramowitz, Elad Alon, Yakun Sophia Shao, Krste Asanovic, Borivoje Nikolic A 16mm2 106.1 GOPS/W Heterogeneous RISC-V Multi-Core Multi-Accelerator SoC in Low-Power 22nm FinFET. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Jacob Dean, Sandeep Hari, Avinash Bhat, Brian A. Floyd A 4-31GHz Direct-Conversion Receiver Employing Frequency-Translated Feedback. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Chen Cai, Xuqiang Zheng, Yong Chen, Danyu Wu, Jian Luan 0002, Lei Zhou, Jin Wu, Xinyu Liu 0004 A 1.4-Vppd 64-Gb/s PAM-4 Transmitter with 4-Tap Hybrid FFE Employing Fractionally-Spaced Pre-Emphasis and Baud-Spaced De-Emphasis in 28-nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Chao Lu, Yi Zhao, Jian Bao, Shr-Lung Chen, Jun Liu, Chin-Ming Chien, Leo Huang, Dingding Zhang, Yong Zhou, Jianqiu Chen, Jinqiang Zhao, Pengfei Yue, Yining Li A Highly Efficient Combo Transceiver for 802.11b/g/n/ax and BT/BLE in 22nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Joo-Mi Cho, Hyo-Jin Park 0002, Hyunji Choi, Esun Baik, Jeeyoung Shin, Sung-Wan Hong A 100-MHz 81.2% All-Paths Inductor-Connected Buck-Converter with Balanced Conduction-Losses and Continuous Path-Currents. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Peng Guo, Zu-Yao Chang, Emile Noothout, Hendrik J. Vos, Johannes G. Bosch, Nico de Jong, Martin D. Verweij, Michiel A. P. Pertijs A Pitch-Matched Analog Front-End with Continuous Time-Gain Compensation for High-Density Ultrasound Transducer Arrays. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Amir Bozorg, Robert Bogdan Staszewski A Charge-Rotating IIR Filter with Linear Interpolation and High Stop-Band Rejection. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Naftali Weiss, Gregory Cooke, Peter Schvan, Pascal Chevalier 0002, Andreia Cathelin, Sorin P. Voinigescu 200-GS/s ADC Front-End Employing 25% Duty Cycle Quadrature Clock Generator. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Jooeun Bang, Seojin Choi, Seyeon Yoo, Jeonghyun Lee, Juyeop Kim, Jaehyouk Choi A 0.0084-mV-FOM, Fast-Transient and Low-Power External-Clock-Less Digital LDO Using a Gear-Shifting Comparator for the Wide-Range Adaptive Sampling Frequency. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Mohit Dandekar, Kris Myny, Wim Dehaene An a-IGZO TFT based Op-Amp with 57 dB DC-Gain, 311 KHz Unity-gain Freq., 75 deg. Phase Margin and 2.43 mW Power on Flexible Substrate. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Jiyue Yang, Di Wu 0045, Albert Lee, Seyed Armin Razavi, Puneet Gupta 0001, Kang L. Wang, Sudhakar Pamarti A Calibration-Free In-Memory True Random Number Generator Using Voltage-Controlled MRAM. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Thomas Tapen, Alyssa B. Apsel A Low Power, 3.5-20GHz Tunable LNA with Out-Of-Band Blocker Filtering Based on Compact, Tunable Transmission Line (CTTL) Resonators in 65nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Damiano Marian, David Soriano, Enrique G. Marin, Giuseppe Iannaccone, Gianluca Fiori Electric-field controlled spin transport in bilayer CrI3. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Lucas Moura Santana, Ewout Martens, Jorge Lagos 0001, Benjamin P. Hershberg, Piet Wambacq, Jan Craninckx A 47.5MHz BW 4.7mW 67dB SNDR Ringamp Based Discrete-Time Delta Sigma ADC. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Suyash Pati Tripathi, Shai Bonen, Claudia Nastase, Sergiu Iordanescu, George Boldeiu, Mircea Pasteanu, Alexandru Müller, Sorin P. Voinigescu Compact Modelling of 22nm FDSOI CMOS Semiconductor Quantum Dot Cryogenic I-V Characteristics. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yuyang Li 0001, Eunseong Moon, Jamie Phillips, Inhee Lee A Stacked-Photovoltaic-Cell Energy Harvester with >81% Indoor Light Harvesting Efficiency for Millimeter-Scale Energy-Autonomous Sensor Nodes. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Cédric Tubert, Pascal Mellot, Yann Desprez, Celine Mas, Arnaud Authié, Laurent Simony, Grégory Bochet, Stephane Drouard, Jeremie Teyssier, Damien Miclo, Jean-Raphael Bezal, Thibault Augey, Franck Hingant, Thomas Bouchet, Blandine Roig, Aurélien Mazard, Raoul Vergara, Gabriel Mugny, Arnaud Tournier, Frédéric Lalanne, François Roy, Boris Rodrigues Goncalves, Matteo Vignetti, Pascal Fonteneau, Vincent Farys, François Agut, Joao Miguel Melo Santos, David Hadden, Kevin Channon, Christopher Townsend, Bruce Rae, Sara Pellegrini 4.6µm Low Power Indirect Time-of-Flight Pixel Achieving 88.5% Demodulation Contrast at 200MHz for 0.54MPix Depth Camera. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Michael Hanhart, Leo Rolff, Léon Weihs, Jan Grobe, Jonas Zoche, Ralf Wunderlich, Stefan Heinen An integrated Boost Controller with MPPT for Submodule PV Applications. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Mauro Leoncini, Alessandro Bertolini, Alessandro Gasparini, Salvatore Levantino, Massimo Ghioni An 800-mA Time-Based Boost Converter in 0.18µm BCD with Right-Half-Plane Zero Elimination and 96% Power Efficiency. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Abhijeet Taralkar, Francesco Conzatti, Piero Malcovati, Andrea Baschirotto A Dual-Mode Second-Order Oversampling Analog-to-Digital Converter. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 2273 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license