The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "EURO-DAC"( http://dblp.L3S.de/Venues/EURO-DAC )

URL (DBLP): http://dblp.uni-trier.de/db/conf/eurodac

Publication years (Num. hits)
1990 (121) 1991 (101) 1992 (121) 1993 (91) 1994 (107) 1995 (94) 1996 (87)
Publication types (Num. hits)
inproceedings(715) proceedings(7)
Venues (Conferences, Journals, ...)
EURO-DAC(722)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 94 occurrences of 55 keywords

Results
Found 722 publication records. Showing 722 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Alain Greiner, Frédéric Pétrot Using C to write portable CMOS VLSI module generators. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF C
1Hervé Mathias, Josette Berger-Toussan, Frédéric Gaffiot, L. Hébrard, Gilles Jacquemod, Michel Le Helley Automatic layout generation for CMOS analog transistors. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  BibTeX  RDF
1Krzysztof Bilinski, Erik L. Dagless, Jonathan M. Saul, Marian Adamski Parallel controller synthesis from a Petri net specification. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  BibTeX  RDF
1Reimund Wittmann, Bedrich J. Hosticka, Michael Schanz, Werner Schardein, Stefan Kern, Reinhold Vahrmann Application-independent hierarchical synthesis methodology for analogue circuits. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
1Arno Kunzmann Test pattern generation hardware motivated by pseudo-exhaustive test techniques. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
1Felix Nicoli, Laurence Pierre Formal verification of behavioral VHDL specifications: a case study. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF VHDL
1Jean-Louis Blanchard, Jean-Michel Morelle Overall thermal simulation of electronic equipment. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  BibTeX  RDF
1Markus Theißinger, Ronald D. Hindmarsh Layout optimization of planar CMOS cells regarding width-to-height trade-off. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  BibTeX  RDF
1Catherine Bayol, Bernard Soulas, Dominique Borrione, Fulvio Corno, Paolo Prinetto A process algebra interpretation of a verification oriented overlanguage of VHDL. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  BibTeX  RDF VHDL
1Alexander Y. Tetelbaum CAD education and science in Ukraine after Perestroika. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  BibTeX  RDF
1Stefan Öing, Werner John Design support of printed circuit boards concerning radiation and irradiation effects (EMI): using an extended EMC-Workbench. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  BibTeX  RDF
1Chris J. Rousse, Alison J. Carter The use of single and multiple seed architectures with a natural based micro-architecture exploration algorithm. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  BibTeX  RDF
1Daniel Clavelier, Bernard Hennion, Christopher Nilson SYNOPA: an automated synthesizer for CMOS operational amplifiers. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
1Paolo Prinetto, Fulvio Corno, Matteo Sonza Reorda An experimental analysis of the effectiveness of the circular self-test path technique. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  BibTeX  RDF
1Luc Burgun, N. Dictus, Alain Greiner, E. Prado Lopes, C. Sarwary Multilevel logic optimization of very high complexity circuits. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
1Ronald Herrmann, Hergen Pargmann Computing binary decision diagrams for VHDL data types. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF VHDL
1Xun Xiong, Edna Barros, Wolfgang Rosenstiel A method for partitioning UNITY language in hardware and software. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  BibTeX  RDF
1Andrzej Krasniewski, Leszek B. Wronski Tests for path delay faults vs. tests for gate delay faults: how different they are. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
1Shashidhar Thakur, D. F. Wong 0001, S. Muthukrishnan 0001 Algorithms for a switch module routing problem. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
1Smita Bakshi, Daniel D. Gajski A component selection algorithm for high-performance pipelines. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
1A. J. van der Hoeven, K. Olav ten Bosch, Rene van Leuken 0001, Pieter van der Wolf A flexible access control mechanism for CAD frameworks. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF UNIX
1Edgar Holmann, Ivan R. Linscott, G. Leonard Tyler Reliability study of combinatorial circuits. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  BibTeX  RDF
1Ali Shahid, Muhammad S. T. Benten, Sadiq M. Sait GSA: scheduling and allocation using genetic algorithm. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
1Zahir Moosa, Michael Brown, Douglas Edwards An appreciation of simulated annealing to maze routing. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
1Werner Rissiek, O. Rethmeier, Heike Holzheuer Parallel algorithms for the simulation of lossy transmission lines. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  BibTeX  RDF
1Christian D. Nielsen Evaluation of function blocks for asynchronous design. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
1Félix Moreno, Juan M. Meneses A new knowledge-based design manager assistant for CAD frameworks. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  BibTeX  RDF
1C. Y. Roger Chen, Mohammed Aloqeely A new technique for exploiting regularity in data path synthesis. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
1Marc Wendling, Wolfgang Rosenstiel A hardware environment for prototyping and partitioning based on multiple FPGAs. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
1Ti-Yen Yen, Wayne H. Wolf, Albert E. Casavant, Alex Ishii Efficient algorithms for interface timing verification. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
1Edwin A. Harcourt, Jon Mauney, Todd A. Cook Formal specification and simulation of instruction-level parallelism. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF RISC
1David B. Bernstein, Werner van Almsick, Wilfried Daehn Distributed simulation for structural VHDL netlists. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF VHDL
1Birger Landwehr, Peter Marwedel, Rainer Dömer OSCAR: optimum simultaneous scheduling, allocation and resource binding based on integer programming. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
1Gianpiero Cabodi, Paolo Camurati, Stefano Quer Symbolic exploration of large circuits with enhanced forward/backward traversals. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
1Peter Feldmann, Roland W. Freund Efficient linear circuit analysis by Padé approximation via the Lanczos process. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
1Winfried Hahn, Andreas Hagerer, C. Herrmann Compiled-code-based simulation with timing verification. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
1Peter Luksch 0001 A portable and extendible testbed for distributed logic simulation. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
1Peter Marwedel, Rainer Leupers Instruction set extraction from programmable structures. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
1Kaushik Roy 0001, Sharat Prasad Logic synthesis for reliability - an early start to controlling electromigration and hot carrier effects. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
1Jie Gong, Daniel D. Gajski, Alex Nicolau A performance evaluator for parameterized ASIC architectures. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  BibTeX  RDF
1Jindrich Zejda, Eduard Cerny Gate-level timing verification using waveform narrowing. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
1Maurizio Valle, Daniele D. Caviglia, Marco Cornero, Giovanni Nateri, Luciano Briozzo A VHDL-based design methodology: the design experience of a high performance ASIC chip. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF VHDL
1Loïc Vandeventer, Jean François Santucci Algorithms for behavioral test pattern generation from VHDL circuit descriptions containing loop language constructs. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF VHDL
1Nick Filer, Michael Brown, Zahir Moosa Integrating CAD tools into a framework environment using a flexible and adaptable procedural interface. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
1Bruce K. Holmer A tool for processor instruction set design. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF Prolog
1Luciano Lavagno, Antonio Lioy, Michael Kishinevsky Testing redundant asynchronous circuits by variable phase splitting. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
1Michael Brown, Nick Filer, Zahir Moosa The use of semantic information for control of a complex routing tool. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
1Matthias Tröscher, Hans Hartmann, Georg Klein, Andreas Plettner TRICAP - a three dimensional capacitance solver for arbitrarily shaped conductors on printed circuit boards and VLSI interconnections. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
1Bill Lin 0001, Chantal Ykman-Couvreur, Peter Vanbekbergen A general state graph transformation framework for asynchronous synthesis. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
1Victor V. Denisenko MOS VLSI circuit simulation by hardware accelerator using semi-natural models. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  BibTeX  RDF
1Luis Sánchez Fernández 0001, Peter T. Breuer, Carlos Delgado Kloos Proof theory and a validation condition generator for VHDL. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  BibTeX  RDF Prolog, VHDL
1Frank Vahid, Daniel D. Gajski, Jie Gong A binary-constraint search algorithm for minimizing hardware during hardware/software partitioning. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
1Gert Döhmen Petri nets as intermediate representation between VHDL and symbolic transition systems. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  BibTeX  RDF VHDL
1Chung-Wen Albert Tsao, Andrew B. Kahng Planar-DME: improved planar zero-skew clock routing with minimum pathlength delay. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
1S. Forno, Stephen Rochel Advanced simulation and modeling techniques for hardware quality verification of digital systems. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  BibTeX  RDF
1Klaus Buchenrieder, Christian Veith A prototyping environment for control-oriented HW/SW systems using state-charts, activity-charts and FPGA's. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
1Loganath Ramachandran, Daniel D. Gajski, Sanjiv Narayan, Frank Vahid, Peter Fung 100-hour design cycle: a test case. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
1Karl Fuchs, Michael Pabst, Torsten Rössel RESIST: a recursive test pattern generation algorithm for path delay faults. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
1Henrik Esbensen A macro-cell global router based on two genetic algorithms. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  BibTeX  RDF
1Kerry S. Lowe, P. Glenn Gulak A unified discrete gate sizing/cell library optimization method for design and analysis of delay minimized CMOS and BiCMOS circuits. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
1Axel Jantsch, Peeter Ellervee, Ahmed Hemani, Johnny Öberg, Hannu Tenhunen Hardware/software partitioning and minimizing memory interface traffic. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  BibTeX  RDF C++, VHDL
1Matthias Mutz An automatically verified generalized multifunction arithmetic pipeline. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
1Rafael Peset Llopis Exact path sensitization in timing analysis. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
1Karen Hale Automotive databus simulation using VHDL. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  BibTeX  RDF VHDL
1Salvador Mir, Nick Filer Re-engineering hardware specifications by exploiting design semantics. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
1Kevin O'Brien, Serge Maginot Non-reversible VHDL source-source encryption. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  BibTeX  RDF VHDL
1Walling R. Cyre, Jim Armstrong, M. Manek-Honcharik, Alexander J. Honcharik Generating VHDL models from natural language descriptions. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF VHDL
1Stefan Tamme Rapid prototyping for DSP circuits using high level design tools. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  BibTeX  RDF VHDL
1Roman Kuznar, Baldomir Zajc, Franc Brglez A unified cost model for min-cut partitioning with replication applied to optimization of large heterogeneous FPGA partitions. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
1Wolfgang Ecker, Manfred Glesner, Andreas Vombach Protocol merging: a VHDL-based method for clock cycle minimizing and protocol preserving scheduling of IO-operations. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  BibTeX  RDF VHDL
1Peter H. Schneider, Kurt Antreich, Ulf Schlichtmann A new power estimation technique with application to decomposition of Boolean functions for low power. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
1A. Both, B. Biermann, R. Lerch, Yiannos Manoli, K. Sievert Hardware-software-codesign of application specific microcontrollers with the ASM environment. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
1Sudhakar Muddu, Andrew B. Kahng Optimal equivalent circuits for interconnect delay calculations using moments. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
1Ramayya Kumar, Sofiène Tahar Formal verification of pipeline conflicts in RISC processors. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF RISC
1Wolfgang Müller 0003, Egon Börger, Uwe Glässer The semantics of behavioral VHDL '93 descriptions. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  BibTeX  RDF VHDL
1Rolf Drechsler BiTeS: a BDD based test pattern generator for strong robust path delay faults. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
1Peter Gutberlet, Wolfgang Rosenstiel Timing preserving interface transformations for the synthesis of behavioral VHDL. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF VHDL
1Jean Mermet (eds.) Proceedings EURO-DAC'94, European Design Automation Conference, Grenoble, France, September 19-22, 1994 Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  BibTeX  RDF
1Xinli Gu, Krzysztof Kuchcinski, Zebo Peng Testability analysis and improvement from VHDL behavioral specifications. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF VHDL
1Norbert Wehn, Jörg Biesenack, Peter Duzy, T. Langmaier, Michael Münch, Michael Pilsl, Steffen Rumler Scheduling of behavioral VHDL by retiming techniques. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF VHDL
1Frank Vahid, Daniel D. Gajski, Sanjiv Narayan A transformation for integrating VHDL behavioral specification with synthesis and software generation. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
1Krzysztof Bilinski, Erik L. Dagless, Jonathan Saul, Janusz Szajna An efficient verification algorithm for parallel controllers. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  BibTeX  RDF
1Hans Eveking (V)HDL-based verification of heterogeneous synchronous/asynchronous systems. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF VHDL
1Srilata Raman, C. L. Liu 0001, Larry G. Jones A delay driven FPGA placement algorithm. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
1James B. Burr, Allen M. Peterson, Gerard K. Yeh, Kallol Kumar Bagchi OPERAS in a DSP CAD environment. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
1Arlet Ottens, Henk Corporaal, Wilco Van Hoogstraeten A new flexible VHDL simulator. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF C++, VHDL
1E. Griese, J. Schrage, M. Vogt Fast simulation method for the detection of reflection - and crosstalk effects during the design of complex printed circuit boards. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  BibTeX  RDF
1Donatella Sciuto, Stefano Antoniazzi, Alessandro Balboni, William Fornaciari The role of VHDL within the TOSCA hardware/software codesign framework. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF VHDL
1Andrej Magdolen, Jana Bezakova, Elena Gramatová, Mária Fischerová REGGEN-Test pattern generation on register transfer level. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Alauddin Alomary, Takeharu Nakata, Yoshimichi Honma, Jun Sato, Nobuyuki Hikichi, Masaharu Imai PEAS-I: A hardware/software co-design system for ASIPs. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Irith Pomeranz, Sudhakar M. Reddy A method for diagnosing implementation errors in synchronous sequential circuits and its implications on synthesis. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Soumitra Bose, Prathima Agrawal, Vishwani D. Agrawal Logic systems for path delay test generation. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Hazem ElTahawy, Dominique Rodriguez, Serge Garcia Sabiro, Jean-José Mayol VHDeLDO: A new mixed mode simulation. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Gregory Schulte, Peter Tong, Stefan Rusu, Stuart Taylor TONIC: A timing database for VLSI design. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Michael Gasteier, Norbert Wehn, Manfred Glesner Synthesis of complex VHDL operators. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Olav Schettler, Ansgar Bredenfeld BEPPO: A data model for design representation. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Vladimir A. Shepelev, Alexander V. Vlasov Implementation of the conception of flexible integration within the CADS framework. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1John Berrie, Andrew Slade A practical approach to EMC for printed circuit board (PCB) and multichip module (MCM) design. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Yu-Liang Wu, Malgorzata Marek-Sadowska Graph based analysis of FPGA routing. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Andrew A. Duncan, David C. Hendry DSP datapath synthesis eliminating global interconnect. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 722 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license