The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "FPL"( http://dblp.L3S.de/Venues/FPL )

URL (DBLP): http://dblp.uni-trier.de/db/conf/fpga

Publication years (Num. hits)
1992 (23) 1993-1994 (65) 1995 (47) 1996 (51) 1997 (52) 1998 (69) 1999 (66) 2000 (102) 2001 (75) 2002 (136) 2003 (147) 2004 (178) 2005 (149) 2006 (183) 2007 (162) 2008 (154) 2009 (142) 2010 (112) 2011 (101) 2012 (142) 2013 (139) 2014 (131) 2015 (99) 2016 (101) 2017 (111) 2018 (86) 2019 (72) 2020 (65) 2021 (83) 2022 (78) 2023 (65)
Publication types (Num. hits)
inproceedings(3155) proceedings(31)
Venues (Conferences, Journals, ...)
FPL(3186)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 210 occurrences of 148 keywords

Results
Found 3186 publication records. Showing 3186 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Linqiao Liu, Stephen Brown Leveraging Fine-grained Structured Sparsity for CNN Inference on Systolic Array Architectures. Search on Bibsonomy FPL The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Gökhan Akgün, Diana Göhringer Power-Aware Real-Time Operating Systems on Reconfigurable Architectures. Search on Bibsonomy FPL The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Xifan Tang, Ganesh Gore, Grant Brown, Pierre-Emmanuel Gaillardon Taping out an FPGA in 24 hours with OpenFPGA: The SOFA Project. Search on Bibsonomy FPL The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Jens Rettkowski, Diana Göhringer Wormhole Computing in Networks-on-Chip. Search on Bibsonomy FPL The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Philippos Papaphilippou, Paul H. J. Kelly, Wayne Luk Demonstrating custom SIMD instruction development for a RISC-V softcore. Search on Bibsonomy FPL The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Christian Heidorn, Dominik Walter, Yunus Emre Candir, Frank Hannig, Jürgen Teich Hand Sign Recognition via Deep Learning on Tightly Coupled Processor Arrays. Search on Bibsonomy FPL The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Fritjof Steinert, Justin Knapheide, Benno Stabernack Demonstration of a Distributed Accelerator Framework for Energy-efficient ML Processing. Search on Bibsonomy FPL The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Nathaniel Peura, Yuan Meng, Sanmukh R. Kuppannagari, Viktor K. Prasanna FGYM: Toolkit for Benchmarking FPGA based Reinforcement Learning Algorithms. Search on Bibsonomy FPL The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Philipp S. Käsgen, Mohamed Messelka, Markus Weinhardt HiPReP: High-Performance Reconfigurable Processor - Architecture and Compiler. Search on Bibsonomy FPL The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Jonas Ney, Dominik Marek Loroch, Vladimir Rybalkin, Nico Weber, Jens Krüger 0004, Norbert Wehn HALF: Holistic Auto Machine Learning for FPGAs. Search on Bibsonomy FPL The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Emmanouil Kavvousanos, Vassilis Paliouras Optimizing Deep Learning Decoders for FPGA Implementation. Search on Bibsonomy FPL The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Jianyi Cheng, John Wickerson, George A. Constantinides Exploiting the Correlation between Dependence Distance and Latency in Loop Pipelining for HLS. Search on Bibsonomy FPL The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Minghua Shen, Nong Xiao Load Balance-Centric Distributed Parallel Routing for Large-Scale FPGAs. Search on Bibsonomy FPL The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Ameer M. S. Abdelhadi, He Li 0008 Enabling Mixed-Timing NoCs for FPGAs: Reconfigurable Synthesizable Synchronization FIFOs. Search on Bibsonomy FPL The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Jian Meng, Shreyas Kolala Venkataramanaiah, Chuteng Zhou, Patrick Hansen, Paul N. Whatmough, Jae-sun Seo FixyFPGA: Efficient FPGA Accelerator for Deep Neural Networks with High Element-Wise Sparsity and without External Memory Access. Search on Bibsonomy FPL The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Jeffrey Chen, Sehwan Hong, Warrick He, Jinyeong Moon, Sang-Woo Jun Eciton: Very Low-Power LSTM Neural Network Accelerator for Predictive Maintenance at the Edge. Search on Bibsonomy FPL The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Cornelia Wulf, Michael Willig, Diana Göhringer A Survey on Hypervisor-based Virtualization of Embedded Reconfigurable Systems. Search on Bibsonomy FPL The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Sathish Panchapakesan, Zhenman Fang, Jian Li 0059 SyncNN: Evaluating and Accelerating Spiking Neural Networks on FPGAs. Search on Bibsonomy FPL The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Duvindu Piyasena, Siew-Kei Lam, Meiqing Wu Accelerating Continual Learning on Edge FPGA. Search on Bibsonomy FPL The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Marc Perelló Bacardit, Behzad Salami 0001 Reduced-voltage OmpSs@FPGA: A Demonstration. Search on Bibsonomy FPL The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Arzhang Rafii, Welson Sun, Paul Chow Pharos: a Multi-FPGA Performance Monitor. Search on Bibsonomy FPL The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Nikhil Pratap Ghanathe, Vivek Seshadri, Rahul Sharma 0001, Steve Wilton, Aayan Kumar MAFIA: Machine Learning Acceleration on FPGAs for IoT Applications. Search on Bibsonomy FPL The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Chunyou Su, Hao Liang, Wei Zhang 0012, Kun Zhao, Baole Ai, Wenting Shen, Zeke Wang Graph Sampling with Fast Random Walker on HBM-enabled FPGA Accelerators. Search on Bibsonomy FPL The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Prajith Ramakrishnan Geethakumari, Ioannis Sourdis A Specialized Memory Hierarchy for Stream Aggregation. Search on Bibsonomy FPL The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Gökhan Akgün, Muhammad Ali 0010, Diana Göhringer Power-Aware Computing Systems on FPGAs: A Survey. Search on Bibsonomy FPL The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Duc-Minh Ngo, Andriy Temko, Colin C. Murphy, Emanuel M. Popovici FPGA Hardware Acceleration Framework for Anomaly-based Intrusion Detection System in IoT. Search on Bibsonomy FPL The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Julien Mazuet, Michel Narozny, Catherine Dezan, Jean-Philippe Diguet A Seamless DFT/FFT Self-Adaptive Architecture for Embedded Radar Applications. Search on Bibsonomy FPL The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Amit Kulkarni 0002, Monica Chiosa, Thomas B. Preußer, Kaan Kara, David Sidler, Gustavo Alonso HyperLogLog Sketch Acceleration on FPGA. Search on Bibsonomy FPL The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Tuan La, Kaspar Matas, Khoa Dang Pham, Dirk Koch Securing FPGA Accelerators at the Electrical Level for Multi-tenant Platforms. Search on Bibsonomy FPL The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Yaman Umuroglu, Yash Akhauri, Nicholas James Fraser, Michaela Blott LogicNets: Co-Designed Neural Networks and Circuits for Extreme-Throughput Applications. Search on Bibsonomy FPL The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Marie Nguyen, Nathan Serafin, James C. Hoe Partial Reconfiguration for Design Optimization. Search on Bibsonomy FPL The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Nuno Paulino 0001, João Canas Ferreira, João Bispo, João M. P. Cardoso Executing ARMv8 Loop Traces on Reconfigurable Accelerator via Binary Translation Framework. Search on Bibsonomy FPL The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Arjun Ramaswami, Tobias Kenter, Thomas D. Kühne, Christian Plessl Efficient Ab-Initio Molecular Dynamic Simulations by Offloading Fast Fourier Transformations to FPGAs. Search on Bibsonomy FPL The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Tao Yang, Yunkun Liao, Jianping Shi, Yun Liang 0001, Naifeng Jing, Li Jiang 0002 A Winograd-Based CNN Accelerator with a Fine-Grained Regular Sparsity Pattern. Search on Bibsonomy FPL The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Richard Dorrance, Andrey Belogolovy, Hechen Wang, Xue Zhang A Digital Root Based Modular Reduction Technique for Power Efficient, Fault Tolerance in FPGAs. Search on Bibsonomy FPL The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Flora Coleman, Behnaz Rezvani, Sachin Sachin, William Diehl Side Channel Resistance at a Cost: A Comparison of ARX-Based Authenticated Encryption. Search on Bibsonomy FPL The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Kaan Kara, Christoph Hagleitner, Dionysios Diamantopoulos, Dimitris Syrivelis, Gustavo Alonso High Bandwidth Memory on FPGAs: A Data Analytics Perspective. Search on Bibsonomy FPL The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Joseph Melber, James C. Hoe A Service-Oriented Memory Architecture for FPGA Computing. Search on Bibsonomy FPL The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Emre Karabulut, Aydin Aysu RANTT: A RISC-V Architecture Extension for the Number Theoretic Transform. Search on Bibsonomy FPL The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Niansong Zhang, Xiang Chen 0007, Nachiket Kapre RapidLayout: Fast Hard Block Placement of FPGA-Optimized Systolic Arrays using Evolutionary Algorithms. Search on Bibsonomy FPL The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Kristiyan Manev, Dirk Koch Resource Elastic Database Acceleration. Search on Bibsonomy FPL The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Dina G. Mahmoud, Wei Hu 0008, Mirjana Stojilovic X-Attack: Remote Activation of Satisfiability Don't-Care Hardware Trojans on Shared FPGAs. Search on Bibsonomy FPL The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Yanqi Liu, Giuseppe Calderoni, Ruth Iris Bahar Hardware Acceleration of Monte-Carlo Sampling for Energy Efficient Robust Robot Manipulation. Search on Bibsonomy FPL The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1João Carlos Resende, Ricardo J. R. Maçãs, Ricardo Chaves Mask Scrambling Against SCA on Reconfigurable TBOX-Based AES. Search on Bibsonomy FPL The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Nikolaos Charalampos Papadopoulos, Vasileios Karakostas, Konstantinos Nikas, Nectarios Koziris, Dionisios N. Pnevmatikatos A Configurable TLB Hierarchy for the RISC-V Architecture. Search on Bibsonomy FPL The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Jaewon Lee, Hanning Chen, Jeffrey S. Young 0001, Hyesoon Kim RISC-V FPGA Platform Toward ROS-Based Robotics Application. Search on Bibsonomy FPL The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Tanner Gaskin, Hayden Cook, Wesley Stirk, Robert Lucas, Jeffrey Goeders, Brad L. Hutchings Using Novel Configuration Techniques for Accelerated FPGA Aging. Search on Bibsonomy FPL The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Abhishek Kumar Jain, Hossein Omidian, Henri Fraisse, Mansimran Benipal, Lisa Liu, Dinesh Gaitonde A Domain-Specific Architecture for Accelerating Sparse Matrix Vector Multiplication on FPGAs. Search on Bibsonomy FPL The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Shashwat Khandelwal, Ziaul Choudhury, Shashwat Shrivastava, Suresh Purini Accelerating Local Laplacian Filters on FPGAs. Search on Bibsonomy FPL The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Guilherme Korol, Michael Guilherme Jordan, Marcelo Brandalero, Michael Hübner 0001, Mateus Beck Rutzig, Antonio Carlos Schneider Beck MCEA: A Resource-Aware Multicore CGRA Architecture for the Edge. Search on Bibsonomy FPL The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Sajjad Rostami Sani, Farheen Fatima Khan, Anas Razzaq, Andy Gean Ye Measuring the Accuracy of Layout Area Estimation Models of Tile-Based FPGAs in FinFET Technology. Search on Bibsonomy FPL The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Kahlan Gibson, Esther Roorda, Daniel Holanda Noronha, Steven J. E. Wilton Syncopation: Adaptive Clock Management for High-Level Synthesis Generated Circuits on FPGAs. Search on Bibsonomy FPL The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Gagandeep Singh 0002, Dionysios Diamantopoulos, Christoph Hagleitner, Juan Gómez-Luna, Sander Stuijk, Onur Mutlu, Henk Corporaal NERO: A Near High-Bandwidth Memory Stencil Accelerator for Weather Prediction Modeling. Search on Bibsonomy FPL The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1George Provelengios, Daniel E. Holcomb, Russell Tessier Power Wasting Circuits for Cloud FPGA Attacks. Search on Bibsonomy FPL The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Stefan Nikolic 0001, Grace Zgheib, Paolo Ienne Timing-Driven Placement for FPGA Architectures with Dedicated Routing Paths. Search on Bibsonomy FPL The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Ryosuke Kuramochi, Hiroki Nakahara An FPGA-Based Low-Latency Accelerator for Randomly Wired Neural Networks. Search on Bibsonomy FPL The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Dionysios Diamantopoulos, Burkhard Ringlein, Mitra Purandare, Gagandeep Singh 0002, Christoph Hagleitner Agile Autotuning of a Transprecision Tensor Accelerator Overlay for TVM Compiler Stack. Search on Bibsonomy FPL The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Gabriella D'Andrea, Luigi Pomante Design for ReConfigurability: An Electronic System Level Methodology to Exploit Reconfigurable Platforms. Search on Bibsonomy FPL The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Naoki Fujieda On the Feasibility of TERO-Based True Random Number Generator on Xilinx FPGAs. Search on Bibsonomy FPL The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Nele Mentens, Leonel Sousa, Pedro Trancoso, Miquel Pericàs, Ioannis Sourdis (eds.) 30th International Conference on Field-Programmable Logic and Applications, FPL 2020, Gothenburg, Sweden, August 31 - September 4, 2020 Search on Bibsonomy FPL The full citation details ... 2020 DBLP  BibTeX  RDF
1Philippos Papaphilippou, Chris Brooks, Wayne Luk An Adaptable High-Throughput FPGA Merge Sorter for Accelerating Database Analytics. Search on Bibsonomy FPL The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Farah Abid, Darshana Jayasinghe, Sompasong Somsavaddy, Sri Parameswaran LFTSM: Lightweight and Fully Testable SEU Mitigation System for Xilinx Processor-Based SoCs. Search on Bibsonomy FPL The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Satoshi Mitsuno, Junichiro Kadomoto, Toru Koizumi 0001, Ryota Shioya, Hidetsugu Irie, Shuichi Sakai A High-Performance Out-of-Order Soft Processor Without Register Renaming. Search on Bibsonomy FPL The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Pierre-Francois Wolfe, Rushi Patel, Robert Munafo, Mayank Varia, Martin C. Herbordt Secret Sharing MPC on FPGAs in the Datacenter. Search on Bibsonomy FPL The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Cheolyong Bae, Oscar Gustafsson High-Speed Chromatic Dispersion Compensation Filtering in FPGAs for Coherent Optical Communication. Search on Bibsonomy FPL The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Erhan Baturay Onural, Ismail Emir Yuksel, Behzad Salami 0001 Demonstrating Reduced-Voltage FPGA-Based Neural Network Acceleration for Power-Efficiency. Search on Bibsonomy FPL The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Justin Knapheide, Benno Stabernack, Maximilian Kuhnke A High Throughput MobileNetV2 FPGA Implementation Based on a Flexible Architecture for Depthwise Separable Convolution. Search on Bibsonomy FPL The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Linjun Qiao, Guojie Luo, Wentai Zhang 0001, Ming Jiang 0001 FPGA Acceleration of Ray-Based Iterative Algorithm for 3D Low-Dose CT Reconstruction. Search on Bibsonomy FPL The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Abbas Haghi, Lluc Alvarez, Jordà Polo, Dionysios Diamantopoulos, Christoph Hagleitner, Miquel Moretó A Hardware/Software Co-Design of K-mer Counting Using a CAPI-Enabled FPGA. Search on Bibsonomy FPL The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Diederik Adriaan Vink, Aditya Rajagopal, Stylianos I. Venieris, Christos-Savvas Bouganis Caffe Barista: Brewing Caffe with FPGAs in the Training Loop. Search on Bibsonomy FPL The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Ryusuke Nebashi, Naoki Banno, Makoto Miyamura, Xu Bai, Kazunori Funahashi, Koichiro Okamoto, Noriyuki Iguchi, Hideaki Numata, Tadahiko Sugibayashi, Toshitsugu Sakamoto, Munehiro Tada A 171k-LUT Nonvolatile FPGA using Cu Atom-Switch Technology in 28nm CMOS. Search on Bibsonomy FPL The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Benjamin Hettwer, Kallyan Das, Sebastien Leger, Stefan Gehrer, Tim Güneysu Lightweight Side-Channel Protection using Dynamic Clock Randomization. Search on Bibsonomy FPL The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Frans Skarman, Oscar Gustafsson, Daniel Jung 0002, Mattias Krysander Acceleration of Simulation Models Through Automatic Conversion to FPGA Hardware. Search on Bibsonomy FPL The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Nick Brown 0002 Weighing Up the New Kid on the Block: Impressions of using Vitis for HPC Software Development. Search on Bibsonomy FPL The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Gurshaant Malik, Ian Elmor Lang, Rodolfo Pellizzoni, Nachiket Kapre Learn the Switches: Evolving FPGA NoCs with Stall-Free and Backpressure Based Routers. Search on Bibsonomy FPL The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Thomas B. Preußer, Monica Chiosa, Alexander Weiss, Gustavo Alonso Using DSP Slices as Content-Addressable Update Queues. Search on Bibsonomy FPL The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Kaspar Mätas, Dirk Koch Transparent Integration of a Dynamic FPGA Database Acceleration System. Search on Bibsonomy FPL The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1João Paulo Cardoso de Lima, Marcelo Brandalero, Luigi Carro Endurance-Aware RRAM-Based Reconfigurable Architecture using TCAM Arrays. Search on Bibsonomy FPL The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Duvindu Piyasena, Miyuru Thathsara, Sathursan Kanagarajah, Siew Kei Lam, Meiqing Wu Dynamically Growing Neural Network Architecture for Lifelong Deep Learning on the Edge. Search on Bibsonomy FPL The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Kati Tervo, Samawat Malik, Topi Leppänen, Pekka Jääskeläinen TTA-SIMD Soft Core Processors. Search on Bibsonomy FPL The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Milad Bahadori, Kimmo Järvinen 0001 Compact and Programmable yet High-Performance SoC Architecture for Cryptographic Pairings. Search on Bibsonomy FPL The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Nadesh Ramanathan, George A. Constantinides, John Wickerson Precise Pointer Analysis in High-Level Synthesis. Search on Bibsonomy FPL The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Tuan La, Kaspar Matas, Joseph Powell, Khoa Dang Pham, Dirk Koch Demo: A Closer Look at Malicious Bitstreams. Search on Bibsonomy FPL The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Jieru Zhao, Tingyuan Liang, Liang Feng 0001, Wenchao Ding 0001, Sharad Sinha, Wei Zhang 0012, Shaojie Shen FP-Stereo: Hardware-Efficient Stereo Vision for Embedded Applications. Search on Bibsonomy FPL The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Shashwat Shrivastava, Ziaul Choudhury, Shashwat Khandelwal, Suresh Purini FPGA Accelerator for Stereo Vision using Semi-Global Matching through Dependency Relaxation. Search on Bibsonomy FPL The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Ang Li, Ting-Jung Chang, David Wentzlaff Automated Design of FPGAs Facilitated by Cycle-Free Routing. Search on Bibsonomy FPL The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Dani Maarouf, Ahmed Shamli, Timothy Martin, Gary Gréwal, Shawki Areibi A Deep-Learning Framework for Predicting Congestion During FPGA Placement. Search on Bibsonomy FPL The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Atharva Gondhalekar, Wu-Chun Feng Exploring FPGA Optimizations in OpenCL for Breadth-First Search on Sparse Graph Datasets. Search on Bibsonomy FPL The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Wei Yan 0005, Fatemeh Tehranipoor, Xuan Zhang 0001, John A. Chandy FLASH: FPGA Locality-Aware Sensitive Hash for Nearest Neighbor Search and Clustering Application. Search on Bibsonomy FPL The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Ryan A. Cooke, Suhaib A. Fahmy Characterizing Latency Overheads in the Deployment of FPGA Accelerators. Search on Bibsonomy FPL The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Khoa Dang Pham, Anuj Vaishnav, Joseph Powell, Dirk Koch A Self-Compilation Flow Demo on FOS - The FPGA Operating System. Search on Bibsonomy FPL The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Mário P. Véstias, Rui Policarpo Duarte, José T. de Sousa, Horácio C. Neto Hybrid Dot-Product Calculation for Convolutional Neural Networks in FPGA. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Mark Wijtvliet, Jos Huisken, Luc Waeijen, Henk Corporaal Blocks: Redesigning Coarse Grained Reconfigurable Architectures for Energy Efficiency. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Anuj Vaishnav, Khoa Dang Pham, Kristiyan Manev, Dirk Koch The FOS (FPGA Operating System) Demo. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Seyedeh Sharareh Mirzargar, Mirjana Stojilovic Physical Side-Channel Attacks and Covert Communication on FPGAs: A Survey. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Takuya Kojima, Naoki Ando, Yusuke Matsushita 0001, Hideharu Amano Demonstration of Low Power Stream Processing Using a Variable Pipelined CGRA. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Robert Hale, Brad L. Hutchings Preallocating Resources for Distributed Memory Based FPGA Debug. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Ioannis Sourdis, Christos-Savvas Bouganis, Carlos Álvarez 0001, Leonel Antonio Toledo Díaz, Pedro Valero-Lara, Xavier Martorell (eds.) 29th International Conference on Field Programmable Logic and Applications, FPL 2019, Barcelona, Spain, September 8-12, 2019 Search on Bibsonomy FPL The full citation details ... 2019 DBLP  BibTeX  RDF
1Rashmi S. Agrawal 0001, Lake Bu, Alan Ehret, Michel A. Kinsy Open-Source FPGA Implementation of Post-Quantum Cryptographic Hardware Primitives. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Stefan Hadjis, Kunle Olukotun TensorFlow to Cloud FPGAs: Tradeoffs for Accelerating Deep Neural Networks. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 3186 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license