The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Fetch with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1974-1988 (19) 1989-1992 (18) 1993-1995 (19) 1996-1997 (27) 1998 (20) 1999 (30) 2000 (34) 2001 (32) 2002 (50) 2003 (49) 2004 (57) 2005 (51) 2006 (58) 2007 (63) 2008 (52) 2009 (25) 2010-2011 (16) 2012-2013 (18) 2014-2015 (20) 2016-2017 (20) 2018-2019 (24) 2020-2021 (24) 2022-2023 (20)
Publication types (Num. hits)
article(156) inproceedings(584) phdthesis(6)
Venues (Conferences, Journals, ...)
ISCA(37) MICRO(36) HPCA(22) IEEE Trans. Computers(21) CoRR(16) IEEE PACT(13) IPDPS(12) ASPLOS(10) ICCD(10) ICS(10) ISLPED(10) PaCT(10) Euro-Par(9) ICPP(9) ACM Trans. Archit. Code Optim.(8) Asia-Pacific Computer Systems ...(7) More (+10 of total 336)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 731 occurrences of 466 keywords

Results
Found 747 publication records. Showing 746 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
19Ian Stewart, Jacob Eisenstein Making "fetch" happen: The influence of social and linguistic context on nonstandard word growth and decline. Search on Bibsonomy EMNLP The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
19Meng Xu 0001, Chenxiong Qian, Kangjie Lu, Michael Backes 0001, Taesoo Kim Precise and Scalable Detection of Double-Fetch Bugs in OS Kernels. Search on Bibsonomy IEEE Symposium on Security and Privacy The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
19Hsing-bung Chen, Qiang Guan, Song Fu UNS: A Portable, Mobile, and Exchangeable Namespace for Supporting Fetch-from-Anywhere Big Data Eco-Systems. Search on Bibsonomy DASC/PiCom/DataCom/CyberSciTech The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
19Reza Ramezani, Yasser Sedaghat, Juan Antonio Clemente Reliability Improvement of Hardware Task Graphs via Configuration Early Fetch. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
19Xiaofeng Li 0001, Weizhong Zheng, Xiaofeng Yang 0002, Leonard J. Pietrafesa Sea Fetch Observed by Synthetic Aperture Radar. Search on Bibsonomy IEEE Trans. Geosci. Remote. Sens. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
19Jinglin Li, Zhou Teng, Jing Xiao 0001 Can a Continuum Manipulator Fetch an Object in an Unknown Cluttered Space? Search on Bibsonomy IEEE Robotics Autom. Lett. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
19Adam H. Sparks, Mark Padgham, Hugh Parsonage, Keith G. Pembleton bomrang: Fetch Australian Government Bureau of Meteorology Data in R. Search on Bibsonomy J. Open Source Softw. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
19Ian Stewart, Jacob Eisenstein Making "fetch" happen: The influence of social and linguistic context on the success of lexical innovations. Search on Bibsonomy CoRR The full citation details ... 2017 DBLP  BibTeX  RDF
19Aswin Ramachandran, Louis Johnson Variable Instruction Fetch Rate to Reduce Control Dependent Penalties. Search on Bibsonomy CoRR The full citation details ... 2017 DBLP  BibTeX  RDF
19Michael Schwarz 0001, Daniel Gruss, Moritz Lipp, Clémentine Maurice, Thomas Schuster, Anders Fogh, Stefan Mangard Automated Detection, Exploitation, and Elimination of Double-Fetch Bugs using Modern CPU Features. Search on Bibsonomy CoRR The full citation details ... 2017 DBLP  BibTeX  RDF
19Kehua Guo, Yayuan Tang, Jianhua Ma 0002, Yaoxue Zhang Optimized dependent file fetch middleware in transparent computing platform. Search on Bibsonomy Future Gener. Comput. Syst. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
19Peter van der Stok, Carsten Bormann, Anuj Sehgal PATCH and FETCH Methods for the Constrained Application Protocol (CoAP). Search on Bibsonomy RFC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
19Konstantinos Georgiou, George Karakostas, Evangelos Kranakis Search-and-Fetch with 2 Robots on a Disk - Wireless and Face-to-Face Communication Models. Search on Bibsonomy ICORES The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
19Joanne Pransky The Pransky interview: Melonee Wise, CEO, Fetch Robotics. Search on Bibsonomy Ind. Robot The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
19Konstantinos Georgiou, George Karakostas, Evangelos Kranakis Search-and-Fetch with 2 Robots on a Disk: Wireless and Face-to-Face Communication Models. Search on Bibsonomy CoRR The full citation details ... 2016 DBLP  BibTeX  RDF
19Chang-you Xing, Ke Ding, Chao Hu, Ming Chen 0003 Sample and Fetch-Based Large Flow Detection Mechanism in Software Defined Networks. Search on Bibsonomy IEEE Commun. Lett. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
19Marco Porta, Betim Çiço, Peter Kaczmarski, Neki Frasheri, Virginio Cantoni, Fernand Vandamme New Trends in Information Technologies and Their Integration in University Curricula: a Brief Study in the Context of the FETCH European Thematic Network. Search on Bibsonomy CompSysTech The full citation details ... 2016 DBLP  BibTeX  RDF
19Konstantinos Georgiou, George Karakostas, Evangelos Kranakis Search-and-Fetch with One Robot on a Disk - (Track: Wireless and Geometry). Search on Bibsonomy ALGOSENSORS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
19Liu Tang, Zhangqin Huang Mechanistic relationship between instruction fetch width and basic block size to architectural vulnerability factor. Search on Bibsonomy SNPD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
19Paul A. Hwang, Xiaofeng Li 0001, Biao Zhang 0001, Edward J. Walsh Fetch-limited surface wave growth inside tropical cyclones and hurricane wind speed retrieval. Search on Bibsonomy IGARSS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
19Chaoran Yang, John M. Mellor-Crummey A wait-free queue as fast as fetch-and-add. Search on Bibsonomy PPoPP The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
19Yunsup Lee Decoupled Vector-Fetch Architecture with a Scalarizing Compiler. Search on Bibsonomy 2016   RDF
19Mika Murtojärvi, Olli S. Nevalainen, Ville Leppänen Performance tuning and sparse traversal technique for a cell-based fetch length algorithm on a GPU. Search on Bibsonomy Concurr. Comput. Pract. Exp. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
19Surachai Thongkaew, Tsuyoshi Isshiki, Dongju Li, Hiroaki Kunieda Dalvik Bytecode Acceleration Using Fetch/Decode Hardware Extension. Search on Bibsonomy J. Inf. Process. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
19Lichen Weng, Chen Liu 0001 A resource utilization based instruction fetch policy for SMT processors. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
19Markus Bajones, Daniel Wolf, Johann Prankl, Markus Vincze Where to look first? Behaviour control for fetch-and-carry missions of service robots. Search on Bibsonomy CoRR The full citation details ... 2015 DBLP  BibTeX  RDF
19YoonSeok Pyo, Kouhei Nakashima, Tokuo Tsuji, Ryo Kurazume, Ken'ichi Morooka Motion planning for fetch-and-give task using wagon and service robot. Search on Bibsonomy AIM The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
19Myung Kuk Yoon, Yunho Oh, Sangpil Lee, Seung-Hun Kim, Deokho Kim, Won Woo Ro DRAW: investigating benefits of adaptive fetch group size on GPU. Search on Bibsonomy ISPASS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
19Betim Çiço, Marco Porta E-Learning and MOOCs: a short investigation in the context of the FETCH European thematic network. Search on Bibsonomy CompSysTech The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
19Kehua Guo, Yayuan Tang, Jiacheng Gu A Novel Scheduling Algorithm for File Fetch in Transparent Computing. Search on Bibsonomy ICA3PP (4) The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
19Jason Jong Kyu Park, Yongjun Park 0001, Scott A. Mahlke ELF: maximizing memory-level parallelism for GPUs with coordinated warp and fetch scheduling. Search on Bibsonomy SC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
19Xiaofeng Li 0001, Weizhong Zheng, Xiaofeng Yang 0002, William Pichel Fetch imaged by SAR and simulated by WRF model. Search on Bibsonomy IGARSS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
19Laura A. Carlson, Marjorie Skubic, Jared Miller, Zhiyu Huo, Tatiana Alexenko Strategies for Human-Driven Robot Comprehension of Spatial Descriptions by Older Adults in a Robot Fetch Task. Search on Bibsonomy Top. Cogn. Sci. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Yunhua Wang, Yanmin Zhang, Haihua Chen, Lixin Guo Effects of Atmospheric Stability and Wind Fetch on Microwave Sea Echoes. Search on Bibsonomy IEEE Trans. Geosci. Remote. Sens. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Dario Lodi Rizzini, Stefano Caselli, Davide Valeriani, Andrea Signifredi, Isabella Salsi, Marco Patander, Federico Parisi, Alessandro Costalunga, Marco Cigolini Lessons Learned in a Ball Fetch-And-Carry Robotic Competition. Search on Bibsonomy J. Autom. Mob. Robotics Intell. Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Philip M. Dames, Dinesh Thakur, Mac Schwager, Vijay Kumar 0001 Playing Fetch with Your Robot: The Ability of Robots to Locate and Interact with Objects. Search on Bibsonomy IEEE Robotics Autom. Mag. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Gaurav Chadha, Scott A. Mahlke, Satish Narayanasamy EFetch: optimizing instruction fetch for event-driven webapplications. Search on Bibsonomy PACT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Zhiyu Huo, Tatiana Alexenko, Marjorie Skubic Using spatial language to drive a robot for an indoor environment fetch task. Search on Bibsonomy IROS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19David Kadjo, Jinchun Kim, Prabal Sharma, Reena Panda, Paul Gratz, Daniel A. Jiménez B-Fetch: Branch Prediction Directed Prefetching for Chip-Multiprocessors. Search on Bibsonomy MICRO The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Tse-Yu Yeh, Deborah T. Marr, Yale N. Patt Author retrospective for increasing the instruction fetch rate via multiple branch prediction and a branch address cache. Search on Bibsonomy ICS 25th Anniversary The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Vaibhav V. Unhelkar, Ho Chit Siu, Julie A. Shah Comparative performance of human and mobile robotic assistants in collaborative fetch-and-deliver tasks. Search on Bibsonomy HRI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Surachai Thongkaew, Tsuyoshi Isshiki, Dongju Li, Hiroaki Kunieda Dalvik bytecode acceleration using Fetch/Decode Hardware Extension with hybrid Execution. Search on Bibsonomy APCCAS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Kyomin Sohn, Taesik Na, Indal Song, Yong Shim, Wonil Bae, Sanghee Kang, Dongsu Lee, Hangyun Jung, Seok-Hun Hyun, Hanki Jeoung, Ki Won Lee, Jun-Seok Park, Jongeun Lee, Byunghyun Lee, Inwoo Jun, Juseop Park, Junghwan Park, Hundai Choi, Sanghee Kim, Haeyoung Chung, Young Choi, Dae-Hee Jung, Byungchul Kim, Jung-Hwan Choi, Seong-Jin Jang, Chi-Wook Kim, Jung-Bae Lee, Joo-Sun Choi A 1.2 V 30 nm 3.2 Gb/s/pin 4 Gb DDR4 SDRAM With Dual-Error Detection and PVT-Tolerant Data-Fetch Scheme. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Peter Gavin, David B. Whalley, Magnus Själander Reducing instruction fetch energy in multi-issue processors. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Gerhard Fuchs Poster abstract: ASFECs: using approximately synchronized fetch-and-execute cycles as basic operation cycles for wireless sensor networks. Search on Bibsonomy IPSN The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Marjorie Skubic, Zhiyu Huo, Tatiana Alexenko, Laura A. Carlson, Jared Miller Testing an assistive fetch robot with spatial language from older and younger adults. Search on Bibsonomy RO-MAN The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Cansu Kaynak, Boris Grot, Babak Falsafi SHIFT: shared history instruction fetch for lean-core server processors. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Pietro Morasso, Maura Casadio, Valentina Squeri Haptic training for a visuomotor fetch & pursue task. Search on Bibsonomy EMBC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Faith Ellen, Philipp Woelfel An Optimal Implementation of Fetch-and-Increment. Search on Bibsonomy DISC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Laura A. Carlson, Marjorie Skubic, Jared Miller, Zhiyu Huo, Tatiana Alexenko Assessing the effectiveness of older adults' spatial descriptions in a fetch task. Search on Bibsonomy CogSci The full citation details ... 2013 DBLP  BibTeX  RDF
19Aveek Das, Dinesh Thakur, James Keller 0002, Sujit Kuthirummal, Zsolt Kira, Mihail Pivtoraiko R-MASTIF: robotic mobile autonomous system for threat interrogation and object fetch. Search on Bibsonomy Intelligent Robots and Computer Vision: Algorithms and Techniques The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Caixia Sun, Yongwen Wang, Jinbo Xu Achieving Predictable Performance in SMT Processors by Instruction Fetch Policy. Search on Bibsonomy NCCET The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Reena Panda, Paul V. Gratz, Daniel A. Jiménez B-Fetch: Branch Prediction Directed Prefetching for In-Order Processors. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
19Choonki Jang, Jaejin Lee, Bernhard Egger 0002, Soojung Ryu Automatic code overlay generation and partially redundant code fetch elimination. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
19Sridhar Sowmiyanarayanan Reengineering multi tiered enterprise business applications for performance enhancement and reciprocal or rectangular hyperbolic relation of variation of data transportation time with row pre-fetch size of relational database drivers. Search on Bibsonomy CoRR The full citation details ... 2012 DBLP  BibTeX  RDF
19Thomas Kollar, Mehdi Samadi, Manuela M. Veloso Enabling robots to find and fetch objects by querying the web. Search on Bibsonomy AAMAS The full citation details ... 2012 DBLP  BibTeX  RDF
19Faith Ellen, Vijaya Ramachandran, Philipp Woelfel Efficient Fetch-and-Increment. Search on Bibsonomy DISC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
19Kyomin Sohn, Taesik Na, Indal Song, Yong Shim, Wonil Bae, Sanghee Kang, Dongsu Lee, Hangyun Jung, Hanki Jeoung, Ki Won Lee, Junsuk Park, Jongeun Lee, Byunghyun Lee, Inwoo Jun, Juseop Park, Junghwan Park, Hundai Choi, Sanghee Kim, Haeyoung Chung, Young Choi, Dae-Hee Jung, Jang Seok Choi, Byung-Sick Moon, Jung-Hwan Choi, Byungchul Kim, Seong-Jin Jang, Joo-Sun Choi, Kyungseok Oh A 1.2V 30nm 3.2Gb/s/pin 4Gb DDR4 SDRAM with dual-error detection and PVT-tolerant data-fetch scheme. Search on Bibsonomy ISSCC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
19Marjorie Skubic, Laura A. Carlson, Jared Miller, Xiao Ou Li, Zhiyu Huo Spatial language experiments for a robot fetch task. Search on Bibsonomy HRI The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
19Heming Mou, Tong Sun, Xiangting Shi, Guochang Feng, Da Wei The Research of Improved Pre-fetch Strategy of the Vehicle Terminal Based on the Central Navigation. Search on Bibsonomy ICDMA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
19Steve Alpern Find-and-Fetch Search on a Tree. Search on Bibsonomy Oper. Res. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19Jiongyao Ye, Yingtao Hu, Hongfeng Ding, Takahiro Watanabe Analysis before Starting an Access: A New Power-Efficient Instruction Fetch Mechanism. Search on Bibsonomy IEICE Trans. Inf. Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19Mochamad Asri Efficient fetch mechanism by employing instruction register. Search on Bibsonomy Hot Chips Symposium The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19Avik Mitra, Bhaskar Sardar, Debashis Saha Why NEMO protocols do not pre-fetch more than one CoA? Search on Bibsonomy IEEE ANTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19Michael Ferdman, Cansu Kaynak, Babak Falsafi Proactive instruction fetch. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19B. Parvathy A Pre-fetch Enabled Cache-Core Architecture for Multi-cores. Search on Bibsonomy ACC (1) The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19Lichen Weng, Gang Quan, Chen Liu 0001 PCOUNT: A power aware fetch policy in Simultaneous Multithreading processors. Search on Bibsonomy IGCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19Mochamad Asri, Naoki Fujieda, Kenji Kise Rethinking processor instruction fetch: Inefficiencies-cracking mechanism. Search on Bibsonomy ISOCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19Abdelghani Renbi, Lennart Lindh, Jerker Delsing Non-Instruction Fetch-Based Architecture Reduces Almost 100 Percent of the Dynamic Power and Energy. Search on Bibsonomy GreenCom/CPSCom The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
19Riccardo Paladini, Enzo Dalle Mese, Fabrizio Berizzi, Andrea Garzelli, Marco Martorella, Amerigo Capria Fetch limited sea scattering spectral model for HF-OTH skywave radar. Search on Bibsonomy IGARSS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
19Deze Zeng, Minyi Guo, Xin Liu, Song Guo 0001, Hai Jin 0001, Mianxiong Dong An Accurate and Energy Efficient Fetch Direction Orientation Mechanism for Trace Cache. Search on Bibsonomy ICPP Workshops The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Young-Jun Kim 0001, Kyusik Chung, Lee-Sup Kim, Seong Mo Park Bank-partition and Multi-fetch Scheme for Floating-point Special Function units in Multi-core Systems. Search on Bibsonomy ISCAS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Kui Yi, YueHua Ding 32-bit RISC CPU Based on MIPS Instruction Fetch Module Design. Search on Bibsonomy JCAI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Pipeline, Data Flow, MIPS, Data Path
19Silvia Del Pino, Daniel Chaver, Luis Piñuel, Manuel Prieto 0001, Francisco Tirado Energy reduction of the fetch mechanism through dynamic adaptation. Search on Bibsonomy IET Comput. Digit. Tech. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Fayez Mohamood, Mrinmoy Ghosh, Hsien-Hsin S. Lee DLL-conscious instruction fetch optimization for SMT processors. Search on Bibsonomy J. Syst. Archit. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Cheol Hong Kim, Intae Hwang, Changhyeon Chae, Daewon Choi, Taejin Jung, Sung Woo Chung Energy-Effective Instruction Fetch Unit for Embedded Processors. Search on Bibsonomy CCNC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Mayank Agarwal, Nitin Navale, Kshitiz Malik, Matthew I. Frank Fetch-Criticality Reduction through Control Independence. Search on Bibsonomy ISCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Jie S. Hu, Narayanan Vijaykrishnan, Mary Jane Irwin, Mahmut T. Kandemir Optimising power efficiency in trace cache fetch unit. Search on Bibsonomy IET Comput. Digit. Tech. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19James H. Anderson, Yong-Jik Kim A generic local-spin fetch-and-phi-based mutual exclusion algorithm. Search on Bibsonomy J. Parallel Distributed Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Mika Murtojärvi, Tapio Suominen, Harri Tolvanen, Ville Leppänen, Olli Nevalainen Quantifying distances from points to polygons - applications in determining fetch in coastal environments. Search on Bibsonomy Comput. Geosci. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Bart Du Bois, Bart Van Rompaey, Karel Meijfroidt, Eric Suijs Supporting Reengineering Scenarios with FETCH: an Experience Report. Search on Bibsonomy Electron. Commun. Eur. Assoc. Softw. Sci. Technol. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Yochan Kim, Wan Chul Yoon, Hyuk Tae Kwon, Geun Young Kwon Multiple Script-based Task Model and Decision/Interaction Model for Fetch-and-carry Robot. Search on Bibsonomy RO-MAN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Yochan Kim, Wan Chul Yoon, Geun Young Kwon, Hyuk Tae Kwon Human-robot interactive task managing for fetch-and-carry errands based on abstract scripts. Search on Bibsonomy IFAC HMS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Dana Dorian Fetch. Search on Bibsonomy SIGGRAPH Computer Animation Festival The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Liqiang He, Zhiyong Liu A QoS Capable Fetch Policy for SMT Processors. Search on Bibsonomy J. Comput. Res. Dev. The full citation details ... 2006 DBLP  BibTeX  RDF
19Huaping Wang, Yao Guo 0001, Israel Koren, C. Mani Krishna 0001 Compiler-based adaptive fetch throttling for energy-efficiency. Search on Bibsonomy ISPASS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Bin-Hua Tein, I-Wei Wu, Chung-Ping Chung Instruction Fetch Energy Reduction Using Forward-Branch Bufferable Innermost Loop Buffer. Search on Bibsonomy CDES The full citation details ... 2006 DBLP  BibTeX  RDF
19Hidetoshi Ando, Nobutaka Torigoe, Koji Toriyama, Koichi Ichimiya Real-time rendering of high quality glare images using vertex texture fetch on GPU. Search on Bibsonomy GRAPP The full citation details ... 2006 DBLP  BibTeX  RDF
19Abdelli Abdelkrim, Nadjib Badache A Proxy Pre-fetch Scheme for Consistent SMIL Presentation Delivery. Search on Bibsonomy AICCSA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Ahmad Zmily, Christos Kozyrakis Energy-efficient and high-performance instruction fetch using a block-aware ISA. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF basic blocks, energy efficiency, instruction set architecture, decoupled architecture, instruction delivery
19Francisco J. Cazorla, Alex Ramírez, Mateo Valero, Enrique Fernández Optimising long-latency-load-aware fetch policies for SMT processors. Search on Bibsonomy Int. J. High Perform. Comput. Netw. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Jerzy W. Jaromczyk, Zbigniew Lonc Sequences of Radius k: How to Fetch Many Huge Objects into Small Memory for Pairwise Computations. Search on Bibsonomy ISAAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Innes Martin, Joemon M. Jose Fetch: A Personalised Information Retrieval Tool. Search on Bibsonomy RIAO The full citation details ... 2004 DBLP  BibTeX  RDF
19Younghun Ko, Jaehyoun Kim, Hyunseung Choo Efficient Pre-fetch and Pre-release Based Buffer Cache Management for Web Applications. Search on Bibsonomy ICCSA (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Michael S. Wainer Interactive Computation and Visualization of Fetch Using Standard Computer Graphics Hardware. Search on Bibsonomy SAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Nam Sung Kim, Trevor N. Mudge Reducing register ports using delayed write-back queues and operand pre-fetch. Search on Bibsonomy ICS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF write queue, low power, instruction level parallelism, register file, out-of-order processor
19Zheng-Kuo Wu, Jong-Jiann Shieh Block Based Fetch Engine for Superscalar Processors. Search on Bibsonomy CAINE The full citation details ... 2002 DBLP  BibTeX  RDF
19Emmanuel P. Dinnat, Jacqueline Etcheto, Jacqueline Boutin, Gérard Caudal, Alain Weill, Adriano Camps, Jerry Miller, Stéphanie Contardo Sea state influence on L-band emissivity in various fetch conditions. Search on Bibsonomy IGARSS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Kazunori Ogata, Hideaki Komatsu, Toshio Nakatani Bytecode fetch optimization for a Java interpreter. Search on Bibsonomy ASPLOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF pipelined interpreter, stack caching, Java, performance, superscalar processor, PowerPC, bytecode interpreter
19Alex Ramírez High performance instruction fetch using software and hardware co-design. Search on Bibsonomy 2002   RDF
Displaying result #201 - #300 of 746 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license