The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ICCD"( http://dblp.L3S.de/Venues/ICCD )

URL (DBLP): http://dblp.uni-trier.de/db/conf/iccd

Publication years (Num. hits)
1988 (125) 1989 (116) 1990 (107) 1991 (128) 1992 (120) 1993 (114) 1994 (116) 1995 (97) 1996 (83) 1997 (104) 1998 (97) 1999 (102) 2000 (94) 2001 (89) 2002 (90) 2003 (92) 2004 (91) 2005 (116) 2006 (82) 2007 (94) 2008 (107) 2009 (81) 2010 (84) 2011 (84) 2012 (100) 2013 (82) 2014 (81) 2015 (115) 2016 (108) 2017 (111) 2018 (86) 2019 (93) 2020 (103) 2021 (88) 2022 (107) 2023 (89)
Publication types (Num. hits)
inproceedings(3546) proceedings(30)
Venues (Conferences, Journals, ...)
ICCD(3576)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1102 occurrences of 642 keywords

Results
Found 3576 publication records. Showing 3576 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Xinyu Li, Huimin Cui, Lei Liu NRHI: A Concurrent Non-Rehashing Hash Index for Persistent Memory. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Chen Zou 0001, Hui Zhang 0033, Andrew A. Chien, Yang-Seok Ki PSACS: Highly-Parallel Shuffle Accelerator on Computational Storage. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Vikas Rao, Haden Ondricek, Priyank Kalla, Florian Enescu Rectification of Integer Arithmetic Circuits using Computer Algebra Techniques. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yohan Ko, Hwisoo So, Jinhyo Jung, Kyoungwoo Lee, Aviral Shrivastava Comprehensive Failure Analysis against Soft Errors from Hardware and Software Perspectives. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Wei Zhang 0149, Kaihua Fu, Ningxin Zheng, Quan Chen 0002, Chao Li 0009, Wenli Zheng, Minyi Guo CHARM: Collaborative Host and Accelerator Resource Management for GPU Datacenters. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Suzhen Wu, Jiapeng Wu, Zhirong Shen, Zhihao Zhang, Zuocheng Wang, Bo Mao SimiEncode: A Similarity-based Encoding Scheme to Improve Performance and Lifetime of Non-Volatile Main Memory. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Teodor-Dumitru Ene, James E. Stine A Comprehensive Exploration of the Parallel Prefix Adder Tree Space. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Chung-Kuan Cheng, Andrew B. Kahng, Ilgweon Kang, Minsoo Kim, Daeyeal Lee, Bill Lin 0001, Dongwon Park, Mingyu Woo CoRe-ECO: Concurrent Refinement of Detailed Place-and-Route for an Efficient ECO Automation. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Cheng Tan 0002, Tong Geng, Chenhao Xie 0001, Nicolas Bohm Agostini, Jiajia Li 0001, Ang Li 0006, Kevin J. Barker, Antonino Tumeo DynPaC: Coarse-Grained, Dynamic, and Partially Reconfigurable Array for Streaming Applications. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Enliang Li, Subho S. Banerjee, Sitao Huang, Ravishankar K. Iyer, Deming Chen Improved GPU Implementations of the Pair-HMM Forward Algorithm for DNA Sequence Alignment. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Nazareno Bruschi, Germain Haugou, Giuseppe Tagliavini, Francesco Conti 0001, Luca Benini, Davide Rossi GVSoC: A Highly Configurable, Fast and Accurate Full-Platform Simulator for RISC-V based IoT Processors. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Xinxin Liu, Yu Hua 0001, Rong Bai Consistent RDMA-Friendly Hashing on Remote Persistent Memory. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Cheng-Yen Lee, Kunal Bharathi, Joellen Lansford, Sunil P. Khatri NIST-Lite: Randomness Testing of RNGs on an Energy-Constrained Platform. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Sobhan Niknam, Anuj Pathania, Andy D. Pimentel T-TSP: Transient-Temperature Based Safe Power Budgeting in Multi-/Many-Core Processors. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Lixian Ma, En Shao, Yueyuan Zhou, Guangming Tan WidePipe: High-Throughput Deep Learning Inference System on a Cluster of Neural Processing Units. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Di Wu 0016, Joshua San Miguel Special Session: When Dataflows Converge: Reconfigurable and Approximate Computing for Emerging Neural Networks. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Hanyeoreum Bae, Miryeong Kwon, Donghyun Gouk, Sanghyun Han, Sungjoon Koh, Changrim Lee, Dongchul Park, Myoungsoo Jung Empirical Guide to Use of Persistent Memory for Large-Scale In-Memory Graph Analysis. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Marco Barbone, Bas W. Kwaadgras, Uwe Oelfke, Wayne Luk, Georgi Gaydadjiev Efficient Table-Based Polynomial on FPGA. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Siqin Liu, Sushanth Karmunchi, Avinash Karanth, Soumyasanta Laha, Savas Kaya WiNN: Wireless Interconnect based Neural Network Accelerator. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Liang Shi, Longfei Luo, Yina Lv, Shicheng Li, Changlong Li, Edwin Hsing-Mean Sha Understanding and Optimizing Hybrid SSD with High-Density and Low-Cost Flash Memory. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Daniel Rodrigues Carvalho, André Seznec Conciliating Speed and Efficiency on Cache Compressors. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Sugil Lee, Mohammed E. Fouda, Jongeun Lee, Ahmed M. Eltawil, Fadi J. Kurdahi Fast and Low-Cost Mitigation of ReRAM Variability for Deep Learning Applications. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Guy Eichler, Luca Piccolboni, Davide Giri, Luca P. Carloni MasterMind: Many-Accelerator SoC Architecture for Real-Time Brain-Computer Interfaces. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Justin Morris, Si Thu Kaung Set, Gadi Rosen, Mohsen Imani, Baris Aksanli, Tajana Rosing AdaptBit-HD: Adaptive Model Bitwidth for Hyperdimensional Computing. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yanan Guo 0002, Liang Liu, Yueqiang Cheng, Youtao Zhang, Jun Yang 0002 ModelShield: A Generic and Portable Framework Extension for Defending Bit-Flip based Adversarial Weight Attacks. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Khitam M. Alatoun, Shanmukha Murali Achyutha, Ranga Vemuri Efficient Methods for SoC Trust Validation Using Information Flow Verification. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Ziyi Chen, Ioannis Savidis Reconfigurable Array for Analog Applications. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Najmeh Nazari Bavarsad, Hosein Mohammadi Makrani, Hossein Sayadi, Lawrence Landis, Setareh Rafatirad, Houman Homayoun HosNa: A DPC++ Benchmark Suite for Heterogeneous Architectures. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Ben Gu, Longfei Luo, Yina Lv, Changlong Li, Liang Shi Dynamic File Cache Optimization for Hybrid SSDs with High-Density and Low-Cost Flash Memory. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Xiaoming Du, Cong Li, Shen Zhou, Xian Liu, Xiaohan Xu, Tianjiao Wang, Shijian Ge Fault-Aware Prediction-Guided Page Offlining for Uncorrectable Memory Error Prevention. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Zhengtao Li, Zhipeng Tan, Jianxi Chen HASDH: A Hotspot-Aware and Scalable Dynamic Hashing for Hybrid DRAM-NVM Memory. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Ruixiang Ma, Fei Wu 0005, Changsheng Xie Intelligent Prediction of Flash Lifetime via Online Domain Adaptation. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Tomoki Nakamura, Kazutaka Tomida, Shouta Kouno, Hidetsugu Irie, Shuichi Sakai Stochastic Iterative Approximation: Software/hardware techniques for adjusting aggressiveness of approximation. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Gian Singh, Ankit Wagle, Sarma B. K. Vrudhula, Sunil P. Khatri CIDAN: Computing in DRAM with Artificial Neurons. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Bingzhe Li, Bo Yuan 0001, David Hung-Chang Du EFM: Elastic Flash Management to Enhance Performance of Hybrid Flash Memory. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Trung Le, Zhao Zhang 0008, Zhichun Zhu POMI: Polling-Based Memory Interface for Hybrid Memory System. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yicheng Wang, Yang Liu 0114, Peiyun Wu, Zhao Zhang 0010 Discreet-PARA: Rowhammer Defense with Low Cost and High Efficiency. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Ke Jia, Liang Yang, Jian Wang, Bin Lin, Hao Wang, Ruikai Shi Resonance-Based Power-Efficient Pulse Generator Design with Corresponding Distribution Network. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Isaías B. Felzmann, João Fabrício Filho, Juliane Regina de Oliveira, Lucas Wanner 0001 Special Session: How much quality is enough quality? A case for acceptability in approximate designs. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Purab Ranjan Sutradhar, Kanad Basu, Sai Manoj Pudukotai Dinakarrao, Amlan Ganguly An Ultra-efficient Look-up Table based Programmable Processing in Memory Architecture for Data Encryption. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Fangxin Liu, Wenbo Zhao 0005, Zhezhi He, Zongwu Wang, Yilong Zhao, Tao Yang, Jingnai Feng, Xiaoyao Liang, Li Jiang 0002 SME: ReRAM-based Sparse-Multiplication-Engine to Squeeze-Out Bit Sparsity of Neural Network. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yilun Hao, Saransh Gupta, Justin Morris, Behnam Khaleghi, Baris Aksanli, Tajana Rosing Stochastic-HD: Leveraging Stochastic Computing on Hyper-Dimensional Computing. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yogendra Sao, Sk Subidh Ali Security Analysis of State-of-the-art Scan Obfuscation Technique. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Hossein Golestani, Thomas F. Wenisch HyperData: A Data Transfer Accelerator for Software Data Planes Based on Targeted Prefetching. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Qi Pei, Seunghee Shin Improving the Heavy Re-encryption Overhead of Split Counter Mode Encryption for NVM. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yuya Degawa, Toru Koizumi 0001, Tomoki Nakamura, Ryota Shioya, Junichiro Kadomoto, Hidetsugu Irie, Shuichi Sakai Accurate and Fast Performance Modeling of Processors with Decoupled Front-end. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Kyunghwan Choi, Seongju Lee, Beom Woo Kang, Yongjun Park 0001 Legion: Tailoring Grouped Neural Execution Considering Heterogeneity on Multiple Edge Devices. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Xuan Wang, Lei Gong, Chao Wang 0003, Xi Li 0003, Xuehai Zhou UH-JLS: A Parallel Ultra-High Throughput JPEG-LS Encoding Architecture for Lossless Image Compression. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Bingzhe Li, David H. C. Du WAS-Deletion: Workload-Aware Secure Deletion Scheme for Solid-State Drives. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1 39th IEEE International Conference on Computer Design, ICCD 2021, Storrs, CT, USA, October 24-27, 2021 Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Anuradha Chathuranga Ranasinghe, Sabih H. Gerez Novel Ultra-Low-Voltage Flip-Flops: Near-Vth Modeling and VLSI Integration. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Prattay Chowdhury, Benjamin Carrión Schäfer Special Session: ADAPT: ANN-ControlleD System-Level Runtime Adaptable APproximate CompuTing. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Ramin Rajaei, Michael T. Niemier, Xiaobo Sharon Hu Low-Cost Sequential Logic Circuit Design Considering Single Event Double-Node Upsets and Single Event Transients. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Hongbin Gong, Zhirong Shen, Jiwu Shu Accelerating Sub-Block Erase in 3D NAND Flash Memory. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Shuyu Zhang, Donglei Wu, Haoyu Jin, Xiangyu Zou, Wen Xia, Xiaojia Huang QD-Compressor: a Quantization-based Delta Compression Framework for Deep Neural Networks. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Zhaohui Chen, Emre Karabulut, Aydin Aysu, Yuan Ma, Jiwu Jing An Efficient Non-Profiled Side-Channel Attack on the CRYSTALS-Dilithium Post-Quantum Signature. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Jinghan Zhang, Mehrshad Zandigohar, Gunar Schirner RDP3: Rapid Domain Platform Performance Prediction for Design Space Exploration. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Shangshang Yao, Liang Zhang, Qiong Wang, Li Shen 0007 An Efficient Hybrid Parallel Compression Approximate Multiplier. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Jiafeng Xie, Pengzhou He, Chiou-Yng Lee CROP: FPGA Implementation of High-Performance Polynomial Multiplication in Saber KEM based on Novel Cyclic-Row Oriented Processing Strategy. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Mark Connolly, Purab Ranjan Sutradhar, Mark A. Indovina, Amlan Ganguly Flexible Instruction Set Architecture for Programmable Look-up Table based Processing-in-Memory. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Raul Murillo 0001, David Mallasén, Alberto A. Del Barrio, Guillermo Botella Energy-Efficient MAC Units for Fused Posit Arithmetic. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Zhongming Yu, Guohao Dai, Guyue Huang, Yu Wang 0002, Huazhong Yang Exploiting Online Locality and Reduction Parallelism for Sampled Dense Matrix Multiplication on GPUs. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1You Zhou, Ke Wang, Fei Wu 0005, Changsheng Xie, Hao Lv Seer-SSD: Bridging Semantic Gap between Log-Structured File Systems and SSDs to Reduce SSD Write Amplification. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Xinxin Liu, Yu Hua 0001, Xuan Li, Qifan Liu Write-Optimized and Consistent RDMA-based Non-Volatile Main Memory Systems. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Zirui Lian, Jing Cao, Yanru Zuo, Weihong Liu, Zongwei Zhu AGQFL: Communication-efficient Federated Learning via Automatic Gradient Quantization in Edge Heterogeneous Systems. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yucheng Zhang, Hong Jiang 0001, Mengtian Shi, Chunzhi Wang, Nan Jiang 0013, Xinyun Wu A High-performance Post-deduplication Delta Compression Scheme for Packed Datasets. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Stefan Dan Ciocirlan, Dumitrel Loghin, Lavanya Ramapantulu, Nicolae Tapus, Yong Meng Teo The Accuracy and Efficiency of Posit Arithmetic. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yi-Jou Hsiao, Chin-Fu Nien, Hsiang-Yun Cheng ReSpar: Reordering Algorithm for ReRAM-based Sparse Matrix-Vector Multiplication Accelerator. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Guang Wang 0005, Ziyuan Zhu, Shuan Li, Xu Cheng 0001, Dan Meng Differential Testing of x86 Instruction Decoders with Instruction Operand Inferring Algorithm. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yujun Liu, Bing Wei, Jigang Wu, Limin Xiao Erasure-Coded Multi-Block Updates Based on Hybrid Writes and Common XORs First. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Zi Wang 0006, Shayan Omais Mohammed, Yiorgos Makris, Benjamin Carrión Schäfer Functional Locking through Omission: From HLS to Obfuscated Design. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Hao Zheng 0001, Md Rubel Ahmed, Parijat Mukherjee, Mahesh C. Ketkar, Jin Yang 0006 Model Synthesis for Communication Traces of System Designs. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Lei Zhao, Youtao Zhang, Jun Yang 0002 Flipping Bits to Share Crossbars in ReRAM-Based DNN Accelerator. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Xiaoyang Lu, Rujia Wang, Xian-He Sun Premier: A Concurrency-Aware Pseudo-Partitioning Framework for Shared Last-Level Cache. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Jiaqi Zhang 0002, Xiangru Chen, Sandip Ray Universal Neural Network Acceleration via Real-Time Loop Blocking. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Zehao Chen, Bingzhe Li, Xiaojun Cai, Zhiping Jia, Zhaoyan Shen, Yi Wang 0003, Zili Shao Block-LSM: An Ether-aware Block-ordered LSM-tree based Key-Value Storage Engine. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Zhenbo Hu, Xiangyu Zou, Wen Xia, Yuhong Zhao, Weizhe Zhang, Donglei Wu Smart-DNN: Efficiently Reducing the Memory Requirements of Running Deep Neural Networks on Resource-constrained Platforms. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Ibrahim Haddadi, Issa Qiqieh, Rishad A. Shafik, Fei Xia, Mohammed A. Noaman Al-Hayanni, Alex Yakovlev Run-time Configurable Approximate Multiplier using Significance-Driven Logic Compression. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Lennart M. Reimann, Luca Hanel, Dominik Sisejkovic, Farhad Merchant, Rainer Leupers QFlow: Quantitative Information Flow for Security-Aware Hardware Design in Verilog. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Toru Koizumi 0001, Shu Sugita, Ryota Shioya, Junichiro Kadomoto, Hidetsugu Irie, Shuichi Sakai Compiling and Optimizing Real-world Programs for STRAIGHT ISA. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Jingdian Ming, Wei Cheng 0003, Yongbin Zhou, Huizhong Li APT: Efficient Side-Channel Analysis Framework against Inner Product Masking Scheme. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Kwangrae Kim, Jeonghyun Woo, Junsu Kim, Ki-Seok Chung HammerFilter: Robust Protection and Low Hardware Overhead Method for RowHammer. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Kyeongrok Jo, Taewhan Kim Optimal Transistor Placement Combined with Global In-cell Routing in Standard Cell Layout Synthesis. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Jeremy Giesen, Enrico Mezzetti, Jaume Abella 0001, Francisco J. Cazorla PRL: Standardizing Performance Monitoring Library for High-Integrity Real-Time Systems. Search on Bibsonomy ICCD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Cheng Tan 0002, Chenhao Xie 0001, Ang Li 0006, Kevin J. Barker, Antonino Tumeo OpenCGRA: An Open-Source Unified Framework for Modeling, Testing, and Evaluating CGRAs. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Zhiyuan Lu, Jianhui Yue, Yifu Deng, Yifeng Zhu Improving the Performance of NVM Crash Consistency under Multicore. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Christopher J. Wood Special Session: Noise Characterization and Error Mitigation in Near-Term Quantum Computers. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Xiaowei Wang, Li Zhao, Pengcheng Li High Throughput CNN Inference and Training with In-Cache Computation. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Hui Chen, Yina Lv, Changlong Li, Shouzhen Gu, Liang Shi An Empirical Study of Hybrid SSD with Optane and QLC Flash. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Zhixin Pan, Jennifer Sheldon, Prabhat Mishra 0001 Hardware-Assisted Malware Detection using Explainable Machine Learning. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Han Wang, Hossein Sayadi, Gaurav Kolhe, Avesta Sasan, Setareh Rafatirad, Houman Homayoun Phased-Guard: Multi-Phase Machine Learning Framework for Detection and Identification of Zero-Day Microarchitectural Side-Channel Attacks. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Daniel Volya, Prabhat Mishra 0001 Special Session: Impact of Noise on Quantum Algorithms in Noisy Intermediate-Scale Quantum Systems. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Ioannis Zografopoulos, Juan Ospina, Charalambos Konstantinou Special Session: Harness the Power of DERs for Secure Communications in Electric Energy Systems. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Chao-Hsuan Huang, Ishan G. Thakkar Improving the Latency-Area Tradeoffs for DRAM Design with Coarse-Grained Monolithic 3D (M3D) Integration. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Wei Yang, Hailong Zhang 0001, Yansong Gao, Anmin Fu, Songjie Wei Side-Channel Leakage Detection Based on Constant Parameter Channel Model. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Zhulin Ma, Yujuan Tan, Hong Jiang 0001, Zhichao Yan, Duo Liu, Xianzhang Chen, Qingfeng Zhuge, Edwin Hsing-Mean Sha, Chengliang Wang Unified-TP: A Unified TLB and Page Table Cache Structure for Efficient Address Translation. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Feng Yu 0016, Yaodan Hu, Teng Zhang 0002, Yier Jin Special Issue: Resilient Distributed Estimator with Information Consensus for CPS Security. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Xu He, Yipei Wang, Zhiyong Fu, Yao Wang 0002, Yang Guo 0003 Maximum Clique Based Method for Optimal Solution of Pattern Classification. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Prashanth Mohan, Wen Wang 0007, Bernhard Jungk, Ruben Niederhagen, Jakub Szefer, Ken Mai ASIC Accelerator in 28 nm for the Post-Quantum Digital Signature Scheme XMSS. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Zhe Jiang 0004, Shuai Zhao 0004, Pan Dong, Dawei Yang, Ran Wei, Nan Guan, Neil C. Audsley Re-Thinking Mixed-Criticality Architecture for Automotive Industry. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 3576 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license