The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for IOLTS with no syntactic query expansion in all metadata.

Publication years (Num. hits)
2003 (47) 2004 (45) 2005 (68) 2006 (58) 2007 (61) 2008 (60) 2009 (55) 2010 (55) 2011 (58) 2012 (40) 2013 (55) 2014 (48) 2015 (44) 2016 (58) 2017 (61) 2018 (64) 2019 (70) 2020 (46) 2021 (33) 2022 (38) 2023 (36)
Publication types (Num. hits)
article(3) inproceedings(1076) proceedings(21)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 47 occurrences of 40 keywords

Results
Found 1100 publication records. Showing 1100 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
44Ehsan Aerabi, Athanasios Papadimitriou, David Hély On a Side Channel and Fault Attack Concurrent Countermeasure Methodology for MCU-based Byte-sliced Cipher Implementations. Search on Bibsonomy IOLTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
44Satyadev Ahlawat, Kailash Ahirwar, Jaynarayan T. Tudu, Masahiro Fujita, Virendra Singh Securing Scan through Plain-text Restriction. Search on Bibsonomy IOLTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
44Faiq Khalid, Muhammad Abdullah Hanif, Semeen Rehman, Rehan Ahmed, Muhammad Shafique 0001 TrISec: Training Data-Unaware Imperceptible Security Attacks on Deep Neural Networks. Search on Bibsonomy IOLTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
44Edoardo Ceccarelli, Kevin Manning, Giuseppe Macera, Dennis Dempsey, Colm Heffernan HCD-Induced GIDL Increase and Circuit Implications. Search on Bibsonomy IOLTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
44Mounia Kharbouche-Harrari, Romain Wacquez, Gregory di Pendina, Jean-Max Dutertre, Jérémy Postel-Pellerin, Driss Aboulkassimi, Jean-Michel Portal Dual Detection of Heating and Photocurrent attacks (DDHP) Sensor using Hybrid CMOS/STT-MRAM. Search on Bibsonomy IOLTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
44Anselm Breitenreiter, Jesús López, Pedro Reviriego, Milos Krstic, Úrsula Gutierro, Manuel Sánchez-Renedo, Daniel González A Radiation Tolerant 10/100 Ethernet Transceiver for Space Applications. Search on Bibsonomy IOLTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
44Jacob A. Abraham Resiliency Demands on Next Generation Critical Embedded Systems. Search on Bibsonomy IOLTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
44Philipp H. Kindt, Samarjit Chakraborty Power-aware Reliable Communication for the IoT. Search on Bibsonomy IOLTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
44Ahmet Cagri Bagbaba, Maksim Jenihhin, Jaan Raik, Christian Sauer 0001 Efficient Fault Injection based on Dynamic HDL Slicing Technique. Search on Bibsonomy IOLTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
44Yuya Kinoshita, Toshinori Hosokawa, Hideo Fujiwara A Test Generation Method Based on k-Cycle Testing for Finite State Machines. Search on Bibsonomy IOLTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
44Felipe Augusto da Silva, Ahmet Cagri Bagbaba, Said Hamdioui, Christian Sauer 0001 Efficient Methodology for ISO26262 Functional Safety Verification. Search on Bibsonomy IOLTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
44Mohammed Shayan, Sukanta Bhattacharjee, Yong-Ak Song, Krishnendu Chakrabarty, Ramesh Karri Can Multi-Layer Microfluidic Design Methods Aid Bio-Intellectual Property Protection? Search on Bibsonomy IOLTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
44Takumi Hosaka, Shinichi Nishizawa, Ryo Kishida, Takashi Matsumoto, Kazutoshi Kobayashi Compact Modeling of NBTI Replicating AC Stress / Recovery from a Single-shot Long-term DC Measurement. Search on Bibsonomy IOLTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
44Kuozhong Zhang, Junying Huang, Jing Ye 0001, Xiaochun Ye, Da Wang, Dongrui Fan, Huawei Li 0001, Xiaowei Li 0001, Zhimin Zhang 0004 iATPG: Instruction-level Automatic Test Program Generation for Vulnerabilities under DVFS attack. Search on Bibsonomy IOLTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
44Sergi Alcaide, Leonidas Kosmidis, Carles Hernández 0001, Jaume Abella 0001 Software-only Diverse Redundancy on GPUs for Autonomous Driving Platforms. Search on Bibsonomy IOLTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
44Elena Ioana Vatajelu, Giorgio Di Natale, Mohd Syafiq Mispan, Basel Halak On the Encryption of the Challenge in Physically Unclonable Functions. Search on Bibsonomy IOLTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
44Vasileios Tenentes, Shidhartha Das, Daniele Rossi 0001, Bashir M. Al-Hashimi Run-time Detection and Mitigation of Power-Noise Viruses. Search on Bibsonomy IOLTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
44Mitsunori Ebara, Kodai Yamada, Jun Furuta, Kazutoshi Kobayashi Comparison of Radiation Hardness of Stacked Transmission-Gate Flip Flop and Stacked Tristate-Inverter Flip Flop in a 65 nm Thin BOX FDSOI Process. Search on Bibsonomy IOLTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
44Faiq Khalid, Hassan Ali 0001, Hammad Tariq, Muhammad Abdullah Hanif, Semeen Rehman, Rehan Ahmed, Muhammad Shafique 0001 QuSecNets: Quantization-based Defense Mechanism for Securing Deep Neural Network against Adversarial Attacks. Search on Bibsonomy IOLTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
44Takashi Yoshida, Kazutoshi Kobayashi, Jun Furuta Total Ionizing Dose Effects by alpha irradiation on circuit performance and SEU tolerance in thin BOX FDSOI process. Search on Bibsonomy IOLTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
44Dimitris Gizopoulos, George Papadimitriou 0001, Athanasios Chatzidimitriou, Vijay Janapa Reddi, Behzad Salami 0001, Osman S. Unsal, Adrián Cristal Kestelman, Jingwen Leng Modern Hardware Margins: CPUs, GPUs, FPGAs Recent System-Level Studies. Search on Bibsonomy IOLTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
44Cristiano Calligaro, Umberto Gatti Recipes to build-up a rad-hard CMOS memory. Search on Bibsonomy IOLTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
44Alessandro Vallero, Alessandro Savino, Alberto Carelli, Stefano Di Carlo Bayesian models for early cross-layer reliability analysis and design space exploration. Search on Bibsonomy IOLTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
44Kiruba S. Subramani, Georgios Volanis, Mohammad-Mahdi Bidmeshki, Angelos Antonopoulos 0002, Yiorgos Makris Trusted and Secure Design of Analog/RF ICs: Recent Developments. Search on Bibsonomy IOLTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
44Cristiana Bolchini, Luca Cassano, Ivan Montalbano, Giampiero Repole, Andrea Zanetti, Giorgio Di Natale HATE: a HArdware Trojan Emulation Environment for Microprocessor-based Systems. Search on Bibsonomy IOLTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
44Yuta Ishiyama, Toshinori Hosokawa, Hiroshi Yamazaki A Design for Testability Method for k-Cycle Capture Test Generation. Search on Bibsonomy IOLTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
44Dimitris Gizopoulos, Dan Alexandrescu, Mihalis Maniatakos, Panagiota Papavramidou (eds.) 24th IEEE International Symposium on On-Line Testing And Robust System Design, IOLTS 2018, Platja D'Aro, Spain, July 2-4, 2018 Search on Bibsonomy IOLTS The full citation details ... 2018 DBLP  BibTeX  RDF
44Ayman A. Atallah, Ghaith Bany Hamad, Otmane Aït Mohamed Fault-Resilient Topology Planning and Traffic Configuration for IEEE 802.1Qbv TSN Networks. Search on Bibsonomy IOLTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
44Somayeh Sadeghi Kohan, Arash Vafaei, Zainalabedin Navabi Near-Optimal Node Selection Procedure for Aging Monitor Placement. Search on Bibsonomy IOLTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
44Milos Krstic, Aleksandar Simevski, Markus Ulbricht 0002, Stefan Weidling Power/Area-Optimized Fault Tolerance for Safety Critical Applications. Search on Bibsonomy IOLTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
44Pascal Raiola, Michael A. Kochte, Ahmed Atteya, Laura Rodríguez Gómez, Hans-Joachim Wunderlich, Bernd Becker 0001, Matthias Sauer 0002 Detecting and Resolving Security Violations in Reconfigurable Scan Networks. Search on Bibsonomy IOLTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
44Esteve Amat, Ramon Canal, Antonio Rubio 0001 Modem Gain-Cell Memories in Advanced Technologies. Search on Bibsonomy IOLTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
44Alexandra Listl, Daniel Mueller-Gritschneder, Fabian Kluge, Ulf Schlichtmann Emulation of an ASIC Power, Temperature and Aging Monitor System for FPGA Prototyping. Search on Bibsonomy IOLTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
44Panagiotis Georgiou, Xrysovalantis Kavousianos, Riccardo Cantoro, Matteo Sonza Reorda Fault-Independent Test-Generation for Software-Based Self-Testing. Search on Bibsonomy IOLTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
44Sujay Pandey, Suvadeep Banerjee, Abhijit Chatterjee Error Resilient Neuromorphic Networks Using Checker Neurons. Search on Bibsonomy IOLTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
44Helen-Maria Dounavi, Yiorgos Sfikas, Yiorgos Tsiatouhas Periodic Aging Monitoring in SRAM Sense Amplifiers. Search on Bibsonomy IOLTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
44Xuebing Cao, Liyi Xiao, Linzhe Li, Jie Li 0030, Jiaqiang Li, Jinxiang Wang 0001 Soft error optimization of combinational circuit based on gate sizing and multi-objective particle swarm optimization algorithm. Search on Bibsonomy IOLTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
44Farah Naz Taher, Mostafa Kishani, Benjamin Carrión Schäfer Design and Optimization of Reliable Hardware Accelerators: Leveraging the Advantages of High-Level Synthesis. Search on Bibsonomy IOLTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
44Yosuke Okamura, Tohru Ishihara, Hidetoshi Onodera Independent N-Well And P-Well Biasing For Minimum Leakage Energy Operation. Search on Bibsonomy IOLTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
44Anzhela Yu. Matrosova, Sergei Ostanin, Semen Chernyshov Finding False Paths for Sequential Circuits Using Operations on ROBDDs. Search on Bibsonomy IOLTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
44Kento Hasegawa, Masao Yanagisawa, Nozomu Togawa Detecting the Existence of Malfunctions in Microcontrollers Utilizing Power Analysis. Search on Bibsonomy IOLTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
44Elena Ioana Vatajelu, Lorena Anghel, Jean-Michel Portal, Marc Bocquet, Guillaume Prenat Resistive and Spintronic RAMs: Device, Simulation, and Applications. Search on Bibsonomy IOLTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
44Hossein Bardareh, Amir M. Hajisadeghi, Hamid R. Zarandi A Low-Cost Soft Error Tolerant Read Circuit for Single/Multi-Level Cross-Point RRAM Arrays. Search on Bibsonomy IOLTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
44Martin Andraud, Marian Verhelst From on-chip self-healing to self-adaptivity in analog/RF ICs: challenges and opportunities. Search on Bibsonomy IOLTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
44Robert Schmidt 0003, Rehab Massoud, Jaan Raik, Alberto García Ortiz, Rolf Drechsler Reliability Improvements for Multiprocessor Systems by Health-Aware Task Scheduling. Search on Bibsonomy IOLTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
44Alberto Carelli, Alessandro Vallero, Stefano Di Carlo Shielding Performance Monitor Counters: a double edged weapon for safety and security. Search on Bibsonomy IOLTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
44Jean-Max Dutertre, Vincent Beroulle, Philippe Candelier, Louis-Barthelemy Faber, Marie-Lise Flottes, Philippe Gendrier, David Hély, Régis Leveugle, Paolo Maistri, Giorgio Di Natale, Athanasios Papadimitriou, Bruno Rouzeyre The case of using CMOS FD-SOI rather than CMOS bulk to harden ICs against laser attacks. Search on Bibsonomy IOLTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
44Petra R. Maier, Uzair Sharif, Daniel Mueller-Gritschneder, Ulf Schlichtmann Efficient Fault Injection for Embedded Systems: As Fast as Possible but as Accurate as Necessary. Search on Bibsonomy IOLTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
44Jorge Gomez 0002, Angel Abusleme, Ioannis Vourkas, Antonio Rubio 0001 Resistive Switching Behavior seen from the Energy Point of View. Search on Bibsonomy IOLTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
44Ninghan Tian, Daniel G. Saab, Jacob A. Abraham ESIFT: Efficient System for Error Injection. Search on Bibsonomy IOLTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
44Marcello Traiola, Alessandro Savino, Mario Barbareschi, Stefano Di Carlo, Alberto Bosio Predicting the Impact of Functional Approximation: from Component- to Application-Level. Search on Bibsonomy IOLTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
44T. Vayssade, Florence Azaïs, Laurent Latorre, Francois Lefevre Low-cost functional test of a 2.4 GHz OQPSK transmitter using standard digital ATE. Search on Bibsonomy IOLTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
44Md Imran Momtaz, Suvadeep Banerjee, Sujay Pandey, Jacob A. Abraham, Abhijit Chatterjee Cross-Layer Control Adaptation for Autonomous System Resilience. Search on Bibsonomy IOLTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
44Ryota Ishikawa, Masashi Tawada, Masao Yanagisawa, Nozomu Togawa An Effective Stochastic Number Duplicator and Its Evaluations Using Composite Arithmetic Circuits. Search on Bibsonomy IOLTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
44Jacopo Sini, Massimo Violante An Automatic Approach to Perform FMEDA Safety Assessment on Hardware Designs. Search on Bibsonomy IOLTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
44Semeen Rehman, Florian Kriebel, Bharath Srinivas Prabakaran, Faiq Khalid, Muhammad Shafique 0001 Hardware and Software Techniques for Heterogeneous Fault-Tolerance. Search on Bibsonomy IOLTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
44Florian Cacho, D. Nouguier, M. Arabi, X. Federspiel, Y. Carminati, M. Saliva Integrated Test Structures for Reliability Investigation under Dynamic Stimuli. Search on Bibsonomy IOLTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
44Sara Carbonara, Andrea Firrincieli, Matteo Sonza Reorda, Jan-Gerd Mess On the test of a COTS-based system for space applications. Search on Bibsonomy IOLTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
44Stefano Esposito, Jacopo Sini, Massimo Violante Real-Time Validation of Fault-Tolerant Mixed-Criticality Systems. Search on Bibsonomy IOLTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
44Muhammad Abdullah Hanif, Faiq Khalid, Rachmad Vidya Wicaksana Putra, Semeen Rehman, Muhammad Shafique 0001 Robust Machine Learning Systems: Reliability and Security for Deep Neural Networks. Search on Bibsonomy IOLTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
44Mounia Kharbouche-Harrari, Jérémy Postel-Pellerin, Gregory di Pendina, Romain Wacquez, Driss Aboulkassimi, Marc Bocquet, R. Sousa, R. Delattre, Jean-Michel Portal Impact of a Laser Pulse on a STT-MRAM Bitcell: Security and Reliability Issues. Search on Bibsonomy IOLTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
44Shakil Mahmud, Steve J. A. Majerus, Margot S. Damaser, Robert Karam Design Tradeoffs in Bioimplantable Devices: A Case Study with Bladder Pressure Monitoring. Search on Bibsonomy IOLTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
44Sayuri Ochi, Hiroshi Yamazaki, Toshinori Hosokawa, Masayoshi Yoshimura A Capture Safe Static Test Compaction Method Based on Don't Cares. Search on Bibsonomy IOLTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
44Naghmeh Karimi, Jean-Luc Danger, Sylvain Guilley On the Effect of Aging in Detecting Hardware Trojan Horses with Template Analysis. Search on Bibsonomy IOLTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
44Athanasios Chatzidimitriou, George Papadimitriou 0001, Dimitris Gizopoulos HealthLog Monitor: A Flexible System-Monitoring Linux Service. Search on Bibsonomy IOLTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
44Ghislain Takam Tchendjou, Emmanuel Simeu Self-Healing Imager Based on Detection and Conciliation of Defective Pixels. Search on Bibsonomy IOLTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
44Shyue-Kung Lu, Hui-Ping Li, Kohei Miyase Adaptive ECC Techniques for Reliability and Yield Enhancement of Phase Change Memory. Search on Bibsonomy IOLTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
44Amir R. B. Behrouzian, Dip Goswami, Twan Basten Robust co-synthesis of embedded control systems with occasional deadline misses. Search on Bibsonomy IOLTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
44Boyang Du, Josie E. Rodriguez Condia, Matteo Sonza Reorda, Luca Sterpone About the functional test of the GPGPU scheduler. Search on Bibsonomy IOLTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
44Ioannis Tsiokanos, Lev Mukhanov, Dimitrios S. Nikolopoulos, Georgios Karakonstantis Minimization of Timing Failures in Pipelined Designs via Path Shaping and Operand Truncation. Search on Bibsonomy IOLTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
44Gennaro Severino Rodrigues, Fernanda Lima Kastensmidt, Vincent Pouget, Alberto Bosio Performances VS Reliability: how to exploit Approximate Computing for Safety-Critical applications. Search on Bibsonomy IOLTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
44Anteneh Gebregiorgis, Mehdi Baradaran Tahoori Reliability And Performance Challenges Of Ultra-Low Voltage Caches: A Trade-Off Analysis. Search on Bibsonomy IOLTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
44Ondrej Novák Test Compression Using Extended Nonlinear Binary Codes. Search on Bibsonomy IOLTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
44Lev Mukhanov, Konstantinos Tovletoglou, Dimitrios S. Nikolopoulos, Georgios Karakonstantis DRAM Characterization under Relaxed Refresh Period Considering System Level Effects within a Commodity Server. Search on Bibsonomy IOLTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
44Jacopo Sini, Matteo Sonza Reorda, Massimo Violante, Peter Sarson Towards an automatic approach for hardware verification according to ISO 26262 functional safety standard. Search on Bibsonomy IOLTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
44Theodor Hillebrand, Steffen Paul, Dagmar Peters-Drolshagen A New Approach to Threshold Voltage Measurements of Transistors. Search on Bibsonomy IOLTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
44Andrea Floridia, Ernesto Sánchez 0001, Nikolaos Andrikos Development flow of on-line Software Test Libraries for asynchronous processor cores. Search on Bibsonomy IOLTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
44Stefano Esposito, Serhiy Avramenko, Massimo Violante Efficient Software-Based Partitioning for Commercial-off-the-Shelf NoC-based MPSoCs for Mixed-Criticality Systems. Search on Bibsonomy IOLTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
44Arkady Bramnik, Yiannakis Sazeides To Detect or to Correct? Search on Bibsonomy IOLTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
44Martin Perner, Ulrich Schmid 0001 Self-Stabilizing High-Speed Communication in Multi-Synchronous GALS Architectures. Search on Bibsonomy IOLTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
44Shervin Roshanisefat, Harshith K. Thirumala, Kris Gaj, Houman Homayoun, Avesta Sasan Benchmarking the Capabilities and Limitations of SAT Solvers in Defeating Obfuscation Schemes. Search on Bibsonomy IOLTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
44Honorio Martín, Luis Entrena, Sophie Dupuis, Giorgio Di Natale A Novel Use of Approximate Circuits to Thwart Hardware Trojan Insertion and Provide Obfuscation. Search on Bibsonomy IOLTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
44Toshinori Hosokawa, Hiroshi Yamazaki, Shun Takeda, Masayoshi Yoshimura A Test Register Assignment Method Based on Controller Augmentation to Reduce the Number of Test Patterns. Search on Bibsonomy IOLTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
44Ameya Chaudhari, Jacob A. Abraham Effective Control Flow Integrity Checks for Intrusion Detection. Search on Bibsonomy IOLTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
44Valentin Guiterrez, Antonio J. Ginés, Gildas Léger AMS-RF test quality: Assessing defect severity. Search on Bibsonomy IOLTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
44Victor M. van Santen, Hussam Amrouch, Jörg Henkel Reliability Estimations of Large Circuits in Massively-Parallel GPU-SPICE. Search on Bibsonomy IOLTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
44Vasileios Tenentes, Daniele Rossi 0001, Bashir M. Al-Hashimi Collective-Aware System-on-Chips for Dependable IoT Applications. Search on Bibsonomy IOLTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
44Javier Diaz-Fortuny, Javier Martín-Martínez, Rosana Rodríguez, Montserrat Nafría, Rafael Castro-López, Elisenda Roca, Francisco V. Fernández 0001 CMOS Characterization and Compact Modelling for Circuit Reliability Simulation. Search on Bibsonomy IOLTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
44Toshinori Hosokawa, Morito Niseki, Masayoshi Yoshimura, Hiroshi Yamazaki, Masayuki Arai, Hiroyuki Yotsuyanagi, Masaki Hashizume A Sequentially Untestable Fault Identification Method Based on n-Bit State Cube Justification. Search on Bibsonomy IOLTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
44Renato S. Feitoza, Manuel J. Barragán, Salvador Mir, Daniel Dzahini Reduced-code static linearity test of SAR ADCs using a built-in incremental ∑Δ converter. Search on Bibsonomy IOLTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
44 23rd IEEE International Symposium on On-Line Testing and Robust System Design, IOLTS 2017, Thessaloniki, Greece, July 3-5, 2017 Search on Bibsonomy IOLTS The full citation details ... 2017 DBLP  BibTeX  RDF
44Marko S. Andjelkovic, Milos Krstic, Rolf Kraemer Assessment of the amplitude-duration criterion for SET/SEU robustness evaluation. Search on Bibsonomy IOLTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
44Issam Nofal, Adrian Evans, Anlin He, Gang Guo, Yuanqing Li, Li Chen 0001, Rui Liu 0011, Haibin Wang, Mo Chen, Sang H. Baeg, Shi-Jie Wen, Richard Wong BPPT - Bulk potential protection technique for hardened sequentials. Search on Bibsonomy IOLTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
44Antonio Rubio 0001, Manuel Escudero, Peyman Pouyan Reliability issues in RRAM ternary memories affected by variability and aging mechanisms. Search on Bibsonomy IOLTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
44Alexandra Kourfali, Amit Kulkarni 0002, Dirk Stroobandt SICTA: A superimposed in-circuit fault tolerant architecture for SRAM-based FPGAs. Search on Bibsonomy IOLTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
44Paolo Bernardi, Marco Restifo, Ernesto Sánchez 0001, Matteo Sonza Reorda On the in-field test of embedded memories. Search on Bibsonomy IOLTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
44Stefano Esposito, Massimo Violante Deterministic network on chip for deploying real time applications on many-core processors. Search on Bibsonomy IOLTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
44Da Cheng, Amitava Majumdar 0002, Xiaobao Wang, Nui Chong Field profiling & monitoring of payload transistors in FPGAs. Search on Bibsonomy IOLTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
44Masaru Oya, Masao Yanagisawa, Nozomu Togawa Hardware Trojan detection and classification based on steady state learning. Search on Bibsonomy IOLTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
44Luca Sterpone, Luca Boragno Analysis of radiation-induced cross domain errors in TMR architectures on SRAM-based FPGAs. Search on Bibsonomy IOLTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 1100 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license