The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ISLPED"( http://dblp.L3S.de/Venues/ISLPED )

URL (DBLP): http://dblp.uni-trier.de/db/conf/islped

Publication years (Num. hits)
1996 (74) 1997 (66) 1998 (65) 1999 (57) 2000 (61) 2001 (76) 2002 (67) 2003 (96) 2004 (75) 2005 (76) 2006 (83) 2007 (79) 2008 (80) 2009 (90) 2010 (80) 2011 (78) 2012 (76) 2013 (80) 2014 (75) 2015 (68) 2016 (69) 2017 (64) 2018 (55) 2019 (58) 2020 (43) 2021 (39) 2022 (33) 2023 (55)
Publication types (Num. hits)
inproceedings(1890) proceedings(28)
Venues (Conferences, Journals, ...)
ISLPED(1918)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1797 occurrences of 735 keywords

Results
Found 1918 publication records. Showing 1918 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Junseo Jo, Jaeha Kung, Sunggu Lee, Youngjoo Lee Similarity-Based LSTM Architecture for Energy-Efficient Edge-Level Speech Recognition. Search on Bibsonomy ISLPED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yong-Un Jeong, Joo-Hyung Chae, Sungphil Choi, Jaekwang Yun, Shin-Hyun Jeong, Suhwan Kim A Low-Power and Low-Noise 20: 1 Serializer with Two Calibration Loops in 55-nm CMOS. Search on Bibsonomy ISLPED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Young Geun Kim, Jeong In Kim, Seung Hun Choi, Seon Young Kim, Sung Woo Chung Temperature-aware Adaptive VM Allocation in Heterogeneous Data Centers. Search on Bibsonomy ISLPED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Sarada Krithivasan, Sanchari Sen, Swagath Venkataramani, Anand Raghunathan Dynamic Spike Bundling for Energy-Efficient Spiking Neural Networks. Search on Bibsonomy ISLPED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Kshitij Bhardwaj, Paolo Mantovani, Luca P. Carloni, Steven M. Nowick Towards a Complete Methodology for Synthesizing Bundled-Data Asynchronous Circuits on FPGAs. Search on Bibsonomy ISLPED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Halima Najibi, Alexandre Levisse, Marina Zapater A Design Framework for Thermal-Aware Power Delivery Network in 3D MPSoCs with Integrated Flow Cell Arrays. Search on Bibsonomy ISLPED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Michael Stokes, Ryan Baird, Zhaoxiang Jin, David B. Whalley, Soner Önder Improving Energy Efficiency by Memoizing Data Access Information. Search on Bibsonomy ISLPED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Lejie Lu, Richard Afoakwa, Michael C. Huang 0001, Hui Wu 0007 Concurrent Multipoint-to-Multipoint Communication on Interposer Channels. Search on Bibsonomy ISLPED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Benjamin J. Fletcher, Shidhartha Das, Terrence S. T. Mak A Low-Energy Inductive Transceiver using Spike-Latency Encoding for Wireless 3D Integration. Search on Bibsonomy ISLPED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Donkyu Baek, Yukai Chen, Enrico Macii, Massimo Poncino, Naehyuck Chang Battery-Aware Electric Truck Delivery Route Planner. Search on Bibsonomy ISLPED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Supreet Jeloka, Pranay Prabhat, Graham Knight, James Myers A 65nm switched source line sub-threshold ROM using data encoding, with 0.3V Vmin and 47fJ/b access energy. Search on Bibsonomy ISLPED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Mingyu Yan, Xing Hu 0001, Shuangchen Li, Itir Akgun, Han Li, Xin Ma, Lei Deng 0003, Xiaochun Ye, Zhimin Zhang 0004, Dongrui Fan, Yuan Xie 0001 Balancing Memory Accesses for Energy-Efficient Graph Analytics Accelerators. Search on Bibsonomy ISLPED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Sami Salamin, Martin Rapp, Hussam Amrouch, Girish Pahwa, Yogesh Singh Chauhan, Jörg Henkel NCFET-Aware Voltage Scaling. Search on Bibsonomy ISLPED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Federico Reghenzani, Giuseppe Massari, William Fornaciari A Probabilistic Approach to Energy-Constrained Mixed-Criticality Systems. Search on Bibsonomy ISLPED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Hyeonwook Wi, Hyeonuk Kim, Seungkyu Choi, Lee-Sup Kim Compressing Sparse Ternary Weight Convolutional Neural Networks for Efficient Hardware Acceleration. Search on Bibsonomy ISLPED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Sangwoo Jung, Seungsik Moon, Youngjoo Lee, Jaeha Kung WMixNet: An Energy-Scalable and Computationally Lightweight Deep Learning Accelerator. Search on Bibsonomy ISLPED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Muhammad Abdullah Hanif, Muhammad Zuhaib Akbar, Rehan Ahmed, Semeen Rehman, Axel Jantsch, Muhammad Shafique 0001 MemGANs: Memory Management for Energy-Efficient Acceleration of Complex Computations in Hardware Architectures for Generative Adversarial Networks. Search on Bibsonomy ISLPED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Dong Kai Wang, Nam Sung Kim A2M: Approximate Algebraic Memory Using Polynomials Rings. Search on Bibsonomy ISLPED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Sandeep Krishna Thirumala, Shubham Jain, Anand Raghunathan, Sumeet Kumar Gupta Non-Volatile Memory utilizing Reconfigurable Ferroelectric Transistors to enable Differential Read and Energy-Efficient In-Memory Computation. Search on Bibsonomy ISLPED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Da Eun Shim, Sai Pentapati, Jeehyun Lee 0002, Yun Seop Yu, Sung Kyu Lim Tier Partitioning and Flip-flop Relocation Methods for Clock Trees in Monolithic 3D ICs. Search on Bibsonomy ISLPED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Justin Morris, Mohsen Imani, Samuel Bosch, Anthony Thomas, Helen Shu, Tajana Rosing CompHD: Efficient Hyperdimensional Computing Using Model Compression. Search on Bibsonomy ISLPED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Heetak Kim, Hoyoung Tang, Jongsun Park 0001 An Energy-efficient On-chip Learning Architecture for STDP based Sparse Coding. Search on Bibsonomy ISLPED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Cong Thuan Do, Young-Ho Gong, Cheol Hong Kim, Seon Wook Kim, Sung Woo Chung Exploring the Relation between Monolithic 3D L1 GPU Cache Capacity and Warp Scheduling Efficiency. Search on Bibsonomy ISLPED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Mahabubul Alam, Abdullah Ash-Saki, Swaroop Ghosh Addressing Temporal Variations in Qubit Quality Metrics for Parameterized Quantum Circuits. Search on Bibsonomy ISLPED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Lile Cai, Anne-Maelle Barneche, Arthur Herbout, Chuan Sheng Foo, Jie Lin 0001, Vijay Ramaseshan Chandrasekhar, Mohamed M. Sabry Aly TEA-DNN: the Quest for Time-Energy-Accuracy Co-optimized Deep Neural Networks. Search on Bibsonomy ISLPED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Jaehyun Kim, Chaeun Lee, Jihun Kim, Yumin Kim, Cheol Seong Hwang, Kiyoung Choi VCAM: Variation Compensation through Activation Matching for Analog Binarized Neural Networks. Search on Bibsonomy ISLPED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Saransh Gupta, Mohsen Imani, Behnam Khaleghi, Venkatesh Kumar, Tajana Rosing RAPID: A ReRAM Processing in-Memory Architecture for DNA Sequence Alignment. Search on Bibsonomy ISLPED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Brian Crafton, Matt West 0002, Padip Basnet, Eric Vogel, Arijit Raychowdhury Local Learning in RRAM Neural Networks with Sparse Direct Feedback Alignment. Search on Bibsonomy ISLPED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Jinseok Kim 0004, Yulhwa Kim, Sungho Kim, Jae-Joon Kim Compact Convolution Mapping on Neuromorphic Hardware using Axonal Delay. Search on Bibsonomy ISLPED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Ahish Shylendra, Swarup Bhunia, Amit Ranjan Trivedi Intrinsic and Database-free Watermarking in ICs by Exploiting Process and Design Dependent Variability in Metal-Oxide-Metal Capacitances. Search on Bibsonomy ISLPED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Tahmoures Shabanian, Aatreyi Bal, Prabal Basu, Koushik Chakraborty, Sanghamitra Roy ACE-GPU: Tackling Choke Point Induced Performance Bottlenecks in a Near-Threshold Computing GPU. Search on Bibsonomy ISLPED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Zhenhong Liu, Daniel Wong 0001, Nam Sung Kim Load-Triggered Warp Approximation on GPU. Search on Bibsonomy ISLPED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Srivatsa Rangachar Srinivasa, Akshay Krishna Ramanathan, Xueqing Li, Wei-Hao Chen, Fu-Kuo Hsueh, Chih-Chao Yang, Chang-Hong Shen, Jia-Min Shieh, Sumeet Kumar Gupta, Meng-Fan Marvin Chang, Swaroop Ghosh, Jack Sampson, Vijaykrishnan Narayanan A Monolithic-3D SRAM Design with Enhanced Robustness and In-Memory Computation Support. Search on Bibsonomy ISLPED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Vijayalakshmi Srinivasan, Bruce M. Fleischer, Sunil Shukla, Matthew M. Ziegler, Joel Silberman, Jinwook Oh, Jungwook Choi, Silvia M. Mueller, Ankur Agrawal, Tina Babinsky, Nianzheng Cao, Chia-Yu Chen, Pierce Chuang, Thomas W. Fox, George Gristede, Michael Guillorn, Howard Haynie, Michael J. Klaiber, Dongsoo Lee, Shih-Hsien Lo, Gary W. Maier, Michael Scheuermann, Swagath Venkataramani, Christos Vezyrtzis, Naigang Wang, Fanchieh Yee, Ching Zhou, Pong-Fei Lu, Brian W. Curran, Leland Chang, Kailash Gopalakrishnan Across the Stack Opportunities for Deep Learning Acceleration. Search on Bibsonomy ISLPED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Anirudh Srikant Iyengar, Deepak Vontela, Ithihasa Reddy Nirmala, Swaroop Ghosh, Seyedhamidreza Motaman, Jae-Won Jang Threshold Defined Camouflaged Gates in 65nm Technology for Reverse Engineering Protection. Search on Bibsonomy ISLPED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Liu Ke 0001, Xin He, Xuan Zhang 0001 NNest: Early-Stage Design Space Exploration Tool for Neural Network Inference Accelerators. Search on Bibsonomy ISLPED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Jeongho Hwang, Hong-Seok Choi, Hyungrok Do, Gyu-Seob Jeong, Daehyun Koh, Seong Ho Park, Deog-Kyoon Jeong 4-Channel Push-Pull VCSEL Drivers for HDMI Active Optical Cable in 0.18-μm CMOS. Search on Bibsonomy ISLPED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Cheng-Ting Lee, Yun-Hao Liang, Pai H. Chou, Ali Heydari Gorji, Seyede Mahya Safavi, Wen-Chan Shih, Wen-Tsuen Chen EcoMicro: A Miniature Self-Powered Inertial Sensor Node Based on Bluetooth Low Energy. Search on Bibsonomy ISLPED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Tyler Garrett, Jun Yang 0002, Youtao Zhang Enabling Intra-Plane Parallel Block Erase in NAND Flash to Alleviate the Impact of Garbage Collection. Search on Bibsonomy ISLPED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Sandeep Krishna Thirumala, Arnab Raha, Hrishikesh Jayakumar, Kaisheng Ma, Narayanan Vijaykrishnan, Vijay Raghunathan, Sumeet Kumar Gupta Dual Mode Ferroelectric Transistor based Non-Volatile Flip-Flops for Intermittently-Powered Systems. Search on Bibsonomy ISLPED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Minxuan Zhou, Mohsen Imani, Saransh Gupta, Tajana Rosing GAS: A Heterogeneous Memory Architecture for Graph Processing. Search on Bibsonomy ISLPED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Ankit Mondal, Ankur Srivastava 0001 In-situ Stochastic Training of MTJ Crossbar based Neural Networks. Search on Bibsonomy ISLPED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Jae-Whan Lee, Joo-Hyung Chae, Jihwan Park, Hyunkyu Park 0002, Jaekwang Yun, Suhwan Kim Energy-Efficient Dynamic Comparator with Active Inductor for Receiver of Memory Interfaces. Search on Bibsonomy ISLPED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Mahdi Nazemi, Massoud Pedram Deploying Customized Data Representation and Approximate Computing in Machine Learning Applications. Search on Bibsonomy ISLPED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Jia Guo, Hongxiang Gu, Miodrag Potkonjak Efficient Image Sensor Subsampling for DNN-Based Image Classification. Search on Bibsonomy ISLPED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Kyungwook Chang, Sai Pentapati, Da Eun Shim, Sung Kyu Lim Road to High-Performance 3D ICs: Performance Optimization Methodologies for Monolithic 3D ICs. Search on Bibsonomy ISLPED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Sumanta Pyne Scheduling of Hybrid Battery-Supercapacitor Control Instructions for Longevity in Systems with Power Gating. Search on Bibsonomy ISLPED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Ke Xu 0014, Yu Li, Bo Huang, Xiangkai Liu, Hong Wang, Zhuoyan Wu, Zhanpeng Yan, Xueying Tu, Tongqing Wu, Daibing Zeng A Low-power 4096x2160@30fps H.265/HEVC Video Encoder for Smart Video Surveillance. Search on Bibsonomy ISLPED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Dongkwun Kim, Mingoo Seok Better-Than-Worst-Case Design Methodology for a Compact Integrated Switched-Capacitor DC-DC Converter. Search on Bibsonomy ISLPED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Arijit Banerjee 0002, Sumanth Kamineni, Benton H. Calhoun Multiple Combined Write-Read Peripheral Assists in 6T FinFET SRAMs for Low-VMIN IoT and Cognitive Applications. Search on Bibsonomy ISLPED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Shuo-Han Chen, Yuan-Hao Chang 0001, Tseng-Yi Chen, Yu-Ming Chang, Pei-Wen Hsiao, Hsin-Wen Wei, Wei-Kuan Shih Enhancing the Energy Efficiency of Journaling File System via Exploiting Multi-Write Modes on MLC NVRAM. Search on Bibsonomy ISLPED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Gyuseong Kang, Yunho Jang, Jongsun Park 0001 Spin Orbit Torque Device based Stochastic Multi-bit Synapses for On-chip STDP Learning. Search on Bibsonomy ISLPED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Artem Aleksandrovich Andreev, Fulya Kaplan, Marina Zapater, Ayse K. Coskun, David Atienza Design Optimization of 3D Multi-Processor System-on-Chip with Integrated Flow Cell Arrays. Search on Bibsonomy ISLPED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Yulhwa Kim, Hyungjun Kim, Daehyun Ahn, Jae-Joon Kim Input-Splitting of Large Neural Networks for Power-Efficient Accelerator with Resistive Crossbar Memory Array. Search on Bibsonomy ISLPED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Dayane Alfenas Reis, Michael T. Niemier, Xiaobo Sharon Hu Computing in memory with FeFETs. Search on Bibsonomy ISLPED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Li Yang 0009, Zhezhi He, Deliang Fan A Fully Onchip Binarized Convolutional Neural Network FPGA Impelmentation with Accurate Inference. Search on Bibsonomy ISLPED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Mohsen Imani, Ricardo Garcia, Saransh Gupta, Tajana Rosing RMAC: Runtime Configurable Floating Point Multiplier for Approximate Computing. Search on Bibsonomy ISLPED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Donkyu Baek, Yukai Chen, Alberto Bocca, Alberto Macii, Enrico Macii, Massimo Poncino Battery-Aware Energy Model of Drone Delivery Tasks. Search on Bibsonomy ISLPED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Mohammad Reza Mahmoodi, Dmitri B. Strukov Breaking POps/J Barrier with Analog Multiplier Circuits Based on Nonvolatile Memories. Search on Bibsonomy ISLPED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Hossein Farrokhbakht, Hadi Mardani Kamali, Natalie D. Enright Jerger, Shaahin Hessabi SPONGE: A Scalable Pivot-based On/Off Gating Engine for Reducing Static Power in NoC Routers. Search on Bibsonomy ISLPED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Zirui Xu, Zhuwei Qin, Fuxun Yu, Chenchen Liu, Xiang Chen 0010 DiReCt: Resource-Aware Dynamic Model Reconfiguration for Convolutional Neural Network in Mobile Systems. Search on Bibsonomy ISLPED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Sana Arshad, Azam Beg, Rashad Ramzan A 2.6 mW Single-Ended Positive Feedback LNA for 5G Applications. Search on Bibsonomy ISLPED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Swagath Venkataramani, Vijayalakshmi Srinivasan, Jungwook Choi, Kailash Gopalakrishnan, Leland Chang Taming the beast: Programming Peta-FLOP class Deep Learning Systems. Search on Bibsonomy ISLPED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1 Proceedings of the International Symposium on Low Power Electronics and Design, ISLPED 2018, Seattle, WA, USA, July 23-25, 2018 Search on Bibsonomy ISLPED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Xin He, Liu Ke 0001, Wenyan Lu, Guihai Yan, Xuan Zhang 0001 AxTrain: Hardware-Oriented Neural Network Training for Approximate Inference. Search on Bibsonomy ISLPED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Mohammad Nasim Imtiaz Khan, Swaroop Ghosh Information Leakage Attacks on Emerging Non-Volatile Memory and Countermeasures. Search on Bibsonomy ISLPED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Lejie Lu, Yong Wang 0026, Hui Wu 0007 An Energy-Efficient High-Swing PAM-4 Voltage-Mode Transmitter. Search on Bibsonomy ISLPED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Louis K. Scheffer Insights from Biology: Low Power Circuits in the Fruit Fly. Search on Bibsonomy ISLPED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Sheng Zhang, Adrian Tang, Zhewei Jiang, Simha Sethumadhavan, Mingoo Seok Blacklist Core: Machine-Learning Based Dynamic Operating-Performance-Point Blacklisting for Mitigating Power-Management Security Attacks. Search on Bibsonomy ISLPED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Chih-Kai Kang, Chun-Han Lin, Pi-Cheng Hsiu, Ming-Syan Chen HomeRun: HW/SW Co-Design for Program Atomicity on Self-Powered Intermittent Systems. Search on Bibsonomy ISLPED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Ioannis Tsiokanos, Lev Mukhanov, Dimitrios S. Nikolopoulos, Georgios Karakonstantis Variation-Aware Pipelined Cores through Path Shaping and Dynamic Cycle Adjustment: Case Study on a Floating-Point Unit. Search on Bibsonomy ISLPED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Zhe Chen, Andrew Howe, Hugh T. Blair, Jason Cong CLINK: Compact LSTM Inference Kernel for Energy Efficient Neurofeedback Devices. Search on Bibsonomy ISLPED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Gokul Subramanian Ravi, Mikko H. Lipasti Aggressive Slack Recycling via Transparent Pipelines. Search on Bibsonomy ISLPED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Sarvenaz Tajasob, Morteza Rezaalipour, Masoud Dehyadegari, Mahdi Nazm Bojnordi Designing Efficient Imprecise Adders using Multi-bit Approximate Building Blocks. Search on Bibsonomy ISLPED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Zhiyuan Yang 0001, Ankur Srivastava 0001 Value-driven Synthesis for Neural Network ASICs. Search on Bibsonomy ISLPED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Seungkyu Choi, Jaehyeong Sim, Myeonggu Kang, Lee-Sup Kim TrainWare: A Memory Optimized Weight Update Architecture for On-Device Convolutional Neural Network Training. Search on Bibsonomy ISLPED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Hongxiang Gu, Miodrag Potkonjak Efficient and Secure Group Key Management in IoT using Multistage Interconnected PUF. Search on Bibsonomy ISLPED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Martin Rapp, Anuj Pathania, Jörg Henkel Pareto-Optimal Power- and Cache-Aware Task Mapping for Many-Cores with Distributed Shared Last-Level Cache. Search on Bibsonomy ISLPED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Swaminathan Narayanaswamy, Sangyoung Park, Sebastian Steinhorst, Samarjit Chakraborty Multi-Pattern Active Cell Balancing Architecture and Equalization Strategy for Battery Packs. Search on Bibsonomy ISLPED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Marzieh Vaeztourshizi, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram An Energy-Efficient, Yet Highly-Accurate, Approximate Non-Iterative Divider. Search on Bibsonomy ISLPED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Daniele Jahier Pagliari, Enrico Macii, Massimo Poncino Dynamic Bit-width Reconfiguration for Energy-Efficient Deep Learning Hardware. Search on Bibsonomy ISLPED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Jihoon Park, Seokjun Lee, Hojung Cha App-Oriented Thermal Management of Mobile Devices. Search on Bibsonomy ISLPED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Pramesh Pandey, Asmita Pal, Koushik Chakraborty, Sanghamitra Roy Reliability and Uniformity Enhancement in 8T-SRAM based PUFs operating at NTC. Search on Bibsonomy ISLPED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1William Y. Li, Hyung Seok Kim, Kailash Chandrashekar, Khoa Minh Nguyen, Ashoke Ravi A 32nm, 0.65-10GHz, 0.9/0.3 ps/σ TX/RX jitter single inductor digital fractional-n clock generator for reconfigurable serial I/O. Search on Bibsonomy ISLPED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Donkyu Baek, Caiwen Ding, Sheng Lin 0001, Donghwa Shin, Jaemin Kim, Xue Lin, Yanzhi Wang, Naehyuck Chang Reconfigurable thermoelectric generators for vehicle radiators energy harvesting. Search on Bibsonomy ISLPED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Leibin Ni, Zichuan Liu, Wenhao Song, J. Joshua Yang, Hao Yu 0001, Kanwen Wang, Yuangang Wang An energy-efficient and high-throughput bitwise CNN on sneak-path-free digital ReRAM crossbar. Search on Bibsonomy ISLPED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Michael Mishkin, Nam Sung Kim, Mikko H. Lipasti Temporal codes in on-chip interconnects. Search on Bibsonomy ISLPED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Muqing Liu, Chen Zhou, Qianying Tang, Keshab K. Parhi, Chris H. Kim A data remanence based approach to generate 100% stable keys from an SRAM physical unclonable function. Search on Bibsonomy ISLPED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Amir Mahdi Hosseini Monazzah, Majid Shoushtari, Seyed Ghassem Miremadi, Amir M. Rahmani, Nikil D. Dutt QuARK: Quality-configurable approximate STT-MRAM cache by fine-grained tuning of reliability-energy knobs. Search on Bibsonomy ISLPED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Todd M. Austin Keynote: Peering into the post Moore's Law world. Search on Bibsonomy ISLPED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Young Geun Kim, Sung Woo Chung Signal strength-aware adaptive offloading for energy efficient mobile devices. Search on Bibsonomy ISLPED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Mohsen Imani, Saransh Gupta, Atl Arredondo, Tajana Rosing Efficient query processing in crossbar memory. Search on Bibsonomy ISLPED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Brandon Reagen, José Miguel Hernández-Lobato, Robert Adolf, Michael A. Gelbart, Paul N. Whatmough, Gu-Yeon Wei, David M. Brooks A case for efficient accelerator design space exploration via Bayesian optimization. Search on Bibsonomy ISLPED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Li Li 0064, Jun Wang 0077, Xiaorui Wang, Handong Ye, Ziang Hu SceneMan: Bridging mobile apps with system energy manager via scenario notification. Search on Bibsonomy ISLPED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Farhana Parveen, Shaahin Angizi, Zhezhi He, Deliang Fan Low power in-memory computing based on dual-mode SOT-MRAM. Search on Bibsonomy ISLPED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Ankit Mondal, Ankur Srivastava 0001 Power optimizations in MTJ-based Neural Networks through Stochastic Computing. Search on Bibsonomy ISLPED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Ming-Hung Chien, Yen-Long Lee, Jih Ren Goh, Soon-Jyh Chang A low power duobinary voltage mode transmitter. Search on Bibsonomy ISLPED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Bhoopal Gunna, Lakshmi Bhamidipati, Houman Homayoun, Avesta Sasan Spatial and temporal scheduling of clock arrival times for IR hot-spot mitigation, reformulation of peak current reduction. Search on Bibsonomy ISLPED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Jaydeep Kulkarni, Thomas F. Wenisch Message from the program co-chairs. Search on Bibsonomy ISLPED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Zubair Azim, Kaushik Roy 0001 Spin-torque sensors with differential signaling for fast and energy efficient global interconnects. Search on Bibsonomy ISLPED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 1918 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license