The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ISPASS"( http://dblp.L3S.de/Venues/ISPASS )

URL (DBLP): http://dblp.uni-trier.de/db/conf/ispass

Publication years (Num. hits)
2000 (30) 2001 (25) 2003 (23) 2004 (24) 2005 (31) 2006 (27) 2007 (27) 2008 (23) 2009 (27) 2010 (31) 2011 (35) 2012 (30) 2013 (38) 2014 (34) 2015 (43) 2016 (40) 2017 (36) 2018 (29) 2019 (35) 2020 (41) 2021 (43) 2022 (46) 2023 (43)
Publication types (Num. hits)
inproceedings(738) proceedings(23)
Venues (Conferences, Journals, ...)
ISPASS(761)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 86 occurrences of 79 keywords

Results
Found 761 publication records. Showing 761 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Kuba Kaszyk, Harry Wagstaff, Tom Spink, Björn Franke, Michael F. P. O'Boyle, Bruno Bodin, Henrik Uhrenholt Full-System Simulation of Mobile CPU/GPU Platforms. Search on Bibsonomy ISPASS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Dilip P. Vasudevan, George Michelogiannakis, David Donofrio, John Shalf PARADISE - Post-Moore Architecture and Accelerator Design Space Exploration Using Device Level Simulation and Experiments. Search on Bibsonomy ISPASS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Suk-Joo Chae, Tae-Sun Chung DSMM: A Dynamic Setting for Memory Management in Apache Spark. Search on Bibsonomy ISPASS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Angshuman Parashar, Priyanka Raina, Yakun Sophia Shao, Yu-Hsin Chen, Victor A. Ying, Anurag Mukkara, Rangharajan Venkatesan, Brucek Khailany, Stephen W. Keckler, Joel S. Emer Timeloop: A Systematic Approach to DNN Accelerator Evaluation. Search on Bibsonomy ISPASS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Qi Yu 0003, Bruce R. Childers, Libo Huang, Cheng Qian 0006, Zhiying Wang 0003 Hierarchical Page Eviction Policy for Unified Memory in GPUs. Search on Bibsonomy ISPASS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Bradley Wang, Ayaz Akram, Jason Lowe-Power FlexCPU: A Configurable Out-of-Order CPU Abstraction. Search on Bibsonomy ISPASS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Jonathan S. Lew, Deval A. Shah, Suchita Pati, Shaylin Cattell, Mengchi Zhang, Amruth Sandhupatla, Christopher Ng, Negar Goli, Matthew D. Sinclair, Timothy G. Rogers, Tor M. Aamodt Analyzing Machine Learning Workloads Using a Detailed GPU Simulator. Search on Bibsonomy ISPASS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Joo Hwan Lee, Hyesoon Kim Empirical Investigation of Stale Value Tolerance on Parallel RNN Training. Search on Bibsonomy ISPASS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Davesh Shingari, Akhil Arunkumar, Benjamin Gaudette, Sarma B. K. Vrudhula, Carole-Jean Wu DORA: Optimizing Smartphone Energy Efficiency and Web Browser Performance under Interference. Search on Bibsonomy ISPASS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Bruno Bodin, Luigi Nardi, Harry Wagstaff, Paul H. J. Kelly, Michael F. P. O'Boyle Algorithmic Performance-Accuracy Trade-off in 3D Vision Applications. Search on Bibsonomy ISPASS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Tamara Silbergleit Lehman, Andrew D. Hilton, Benjamin C. Lee MAPS: Understanding Metadata Access Patterns in Secure Memory. Search on Bibsonomy ISPASS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Michael Lui, Karthik Sangaiah, Mark Hempstead, Baris Taskin Towards Cross-Framework Workload Analysis via Flexible Event-Driven Interfaces. Search on Bibsonomy ISPASS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Cheng Qian 0006, Bruce R. Childers, Libo Huang, Qi Yu 0003, Zhiying Wang 0003 HMCSP: Reducing Transaction Latency of CSR-based SPMV in Hybrid Memory Cube. Search on Bibsonomy ISPASS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Ramyad Hadidi, Bahar Asgari, Jeffrey S. Young 0001, Burhan Ahmad Mudassar, Kartikay Garg, Tushar Krishna, Hyesoon Kim Performance Implications of NoCs on 3D-Stacked Memories: Insights from the Hybrid Memory Cube. Search on Bibsonomy ISPASS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Nic McDonald, Adriana Flores, Al Davis, Mikhail Isaev, John Kim, Doug Gibson SuperSim: Extensible Flit-Level Simulation of Large-Scale Interconnection Networks. Search on Bibsonomy ISPASS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Mengchi Zhang, Roland N. Green, Timothy G. Rogers Characterizing the Runtime Effects of Object-Oriented Workloads on GPUs. Search on Bibsonomy ISPASS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Germán Ceballos, Andreas Sembrant, Trevor E. Carlson, David Black-Schaffer Behind the Scenes: Memory Analysis of Graphical Workloads on Tile-Based GPUs. Search on Bibsonomy ISPASS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Peipei Zhou 0001, Zhenyuan Ruan, Zhenman Fang, Megan Shand, David Roazen, Jason Cong Doppio: I/O-Aware Performance Analysis, Modeling and Optimization for In-memory Computing Framework. Search on Bibsonomy ISPASS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Young Tack Jin, Sungjoon Ahn, Sungjin Lee Performance Analysis of NVMe SSD-Based All-flash Array Systems. Search on Bibsonomy ISPASS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Qi Yu 0003, Libo Huang, Cheng Qian 0006, Jianqiao Ma, Zhiying Wang 0003 Evaluating Memory Performance of Emerging Scale-Out Applications Using C-AMAT. Search on Bibsonomy ISPASS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Ram Srivatsa Kannan, Animesh Jain, Michael A. Laurenzano, Lingjia Tang, Jason Mars Proctor: Detecting and Investigating Interference in Shared Datacenters. Search on Bibsonomy ISPASS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Huixiang Chen 0001, Yuting Dai, Hao Meng, Yilun Chen, Tao Li 0006 Understanding the Characteristics of Mobile Augmented Reality Applications. Search on Bibsonomy ISPASS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Matthew A. Watkins, Philip Bedoukian Characterizing a Commercial Multidimensional Heterogeneous Processor Under GPGPU Workloads. Search on Bibsonomy ISPASS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1George Papadimitriou 0001, Athanasios Chatzidimitriou, Manolis Kaliorakis, Yannos Vastakis, Dimitris Gizopoulos Micro-Viruses for Fast System-Level Voltage Margins Characterization in Multicore CPUs. Search on Bibsonomy ISPASS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Prasun Gera, Hyojong Kim, Hyesoon Kim, Sunpyo Hong, Vinod George, Chi-Keung Luk Performance Characterisation and Simulation of Intel's Integrated GPU Architecture. Search on Bibsonomy ISPASS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Andrzej Nowak, Pawel Szostek, Ahmad Yasin, Willy Zwaenepoel Low-Overhead Dynamic Instruction Mix Generation Using Hybrid Basic Block Profiling. Search on Bibsonomy ISPASS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1 IEEE International Symposium on Performance Analysis of Systems and Software, ISPASS 2018, Belfast, United Kingdom, April 2-4, 2018 Search on Bibsonomy ISPASS The full citation details ... 2018 DBLP  BibTeX  RDF
1Ankur Limaye, Tosiron Adegbija A Workload Characterization of the SPEC CPU2017 Benchmark Suite. Search on Bibsonomy ISPASS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Lei Jiang 0001, Langshi Chen, Judy Qiu Performance Characterization of Multi-threaded Graph Processing Applications on Many-Integrated-Core Architecture. Search on Bibsonomy ISPASS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Yifan Sun 0002, Saoni Mukherjee, Trinayan Baruah, Shi Dong 0002, Julian Gutierrez 0002, Prannoy Mohan, David R. Kaeli Evaluating Performance Tradeoffs on the Radeon Open Compute Platform. Search on Bibsonomy ISPASS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Parijat Dube, Zehra Sura Impact of System Resources on Performance of Deep Neural Network. Search on Bibsonomy ISPASS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Matthew J. Walker, Sascha Bischoff, Stephan Diestelhorst, Geoff V. Merrett, Bashir M. Al-Hashimi Hardware-Validated CPU Performance and Energy Modelling. Search on Bibsonomy ISPASS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1John W. Romein, Bram Veenboer PowerSensor 2: A Fast Power Measurement Tool. Search on Bibsonomy ISPASS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Lewis Crawford, Michael F. P. O'Boyle A Cross-platform Evaluation of Graphics Shader Compiler Optimization. Search on Bibsonomy ISPASS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Miguel Tairum Cruz, Sascha Bischoff, Roxana Rusitoru Shifting the Barrier: Extending the Boundaries of the BarrierPoint Methodology. Search on Bibsonomy ISPASS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1José Nelson Amaral, Edson Borin, Dylan R. Ashley, Caian Benedicto, Elliot Colp, Joao Henrique Stange Hoffmam, Marcus Karpoff, Erick Ochoa, Morgan Redshaw, Raphael Ernani Rodrigues The Alberta Workloads for the SPEC CPU 2017 Benchmark Suite. Search on Bibsonomy ISPASS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Stijn Eyerman, Wim Heirman, Kristof Du Bois, Ibrahim Hur Extending the Performance Analysis Tool Box: Multi-stage CPI Stacks and FLOPS Stacks. Search on Bibsonomy ISPASS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Andrey Rodchenko, Christos Kotselidis, Andy Nisbet, Antoniu Pop, Mikel Luján MaxSim: A simulation platform for managed applications. Search on Bibsonomy ISPASS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Alexandra Ferreron, Radhika Jagtap, Sascha Bischoff, Roxana Rusitoru Crossing the architectural barrier: Evaluating representative regions of parallel HPC applications. Search on Bibsonomy ISPASS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Hyoukjun Kwon, Tushar Krishna OpenSMART: Single-cycle multi-hop NoC generator in BSV and Chisel. Search on Bibsonomy ISPASS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Jiajun Wang, Reena Panda, Lizy Kurian John Prefetching for cloud workloads: An analysis based on address patterns. Search on Bibsonomy ISPASS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Tayyar Rzayev, David H. Albonesi, François Guimbretière, Rajit Manohar, Jaeyeon Kihm Toolbox for exploration of energy-efficient event processors for human-computer interaction. Search on Bibsonomy ISPASS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Yipeng Wang 0002, Amro Awad, Yan Solihin Clone morphing: Creating new workload behavior from existing applications. Search on Bibsonomy ISPASS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Jiutian Zhang, Yuhang Liu 0001, Xiaojing Zhu, Yuan Ruan, Mingyu Chen 0001 PTAT: An efficient and precise tool for collecting detailed TLB miss traces. Search on Bibsonomy ISPASS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Rajesh Kumar, Suchita Pati, Kanishka Lahiri DARTS: Performance-counter driven sampling using binary translators. Search on Bibsonomy ISPASS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Xun Gong, Rafael Ubal, David R. Kaeli Multi2Sim Kepler: A detailed architectural GPU simulator. Search on Bibsonomy ISPASS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Heehoon Kim, Hyoungwook Nam, Wookeun Jung, Jaejin Lee Performance analysis of CNN frameworks for GPUs. Search on Bibsonomy ISPASS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Matthew A. Watkins, Philip Bedoukian Characterization of GPGPU workloads on a multidimensional heterogeneous processor. Search on Bibsonomy ISPASS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Andre Lopes, Frederico Pratas, Leonel Sousa, Aleksandar Ilic Exploring GPU performance, power and energy-efficiency bounds with Cache-aware Roofline Modeling. Search on Bibsonomy ISPASS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Saumay Dublish, Vijay Nagarajan, Nigel P. Topham Evaluating and mitigating bandwidth bottlenecks across the memory hierarchy in GPUs. Search on Bibsonomy ISPASS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Reena Panda, Lizy Kurian John Proxy benchmarks for emerging big-data workloads. Search on Bibsonomy ISPASS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Mohammad Alian, Umur Darbaz, Gábor Dózsa, Stephan Diestelhorst, Daehoon Kim, Nam Sung Kim dist-gem5: Distributed simulation of computer clusters. Search on Bibsonomy ISPASS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Kanishka Lahiri, Subhash Kunnoth Fast IPC estimation for performance projections using proxy suites and decision trees. Search on Bibsonomy ISPASS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Hyunsub Song, Young Je Moon, Se Kwon Lee, Sam H. Noh PMAL: Enabling lightweight adaptation of legacy file systems on persistent memory systems. Search on Bibsonomy ISPASS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1 2017 IEEE International Symposium on Performance Analysis of Systems and Software, ISPASS 2017, Santa Rosa, CA, USA, April 24-25, 2017 Search on Bibsonomy ISPASS The full citation details ... 2017 DBLP  BibTeX  RDF
1Reena Panda, Xinnian Zheng, Lizy Kurian John Accurate address streams for LLC and beyond (SLAB): A methodology to enable system exploration. Search on Bibsonomy ISPASS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Nikhil Hegde, Jianqiao Liu, Kirshanthan Sundararajah, Milind Kulkarni 0001 Treelogy: A benchmark suite for tree traversals. Search on Bibsonomy ISPASS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Susie Xia, Zhenyun Zhuang, Anant Rao, Haricharan Ramachandra, Yi Feng, Ramya Pasumarti Service capacity measurement by redlining with live production traffic. Search on Bibsonomy ISPASS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Jaewon Lee, Hanhwi Jang, Jae-Eon Jo, Gyu-hyeon Lee, Jangwoo Kim StressRight: Finding the right stress for accurate in-development system evaluation. Search on Bibsonomy ISPASS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Alessandro Vallero, Stefano Di Carlo, Sotiris Tselonis, Dimitris Gizopoulos Microarchitecture level reliability comparison of modern GPU designs: First findings. Search on Bibsonomy ISPASS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Karim Elghamrawy, Diana Franklin, Frederic T. Chong Predicting memory page stability and its application to memory deduplication and live migration. Search on Bibsonomy ISPASS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Harry Wagstaff, Bruno Bodin, Tom Spink, Björn Franke SimBench: A portable benchmarking methodology for full-system simulators. Search on Bibsonomy ISPASS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Jennifer B. Sartor, Kristof Du Bois, Stijn Eyerman, Lieven Eeckhout Analyzing the scalability of managed language applications with speedup stacks. Search on Bibsonomy ISPASS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Yohei Ueda, Moriyoshi Ohara Performance competitiveness of a statically compiled language for server-side Web applications. Search on Bibsonomy ISPASS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Ugljesa Milic, Alejandro Rico, Paul M. Carpenter, Alex Ramírez Sharing the instruction cache among lean cores on an asymmetric CMP for HPC applications. Search on Bibsonomy ISPASS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Huixiang Chen 0001, Meng Wang, Yang Hu 0001, Mingcong Song, Tao Li 0006 GaaS workload characterization under NUMA architecture for virtualized GPU. Search on Bibsonomy ISPASS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Juan Gómez-Luna, Izzat El Hajj, Li-Wen Chang, Victor Garcia-Flores, Simon Garcia De Gonzalo, Thomas B. Jablin, Antonio J. Peña, Wen-mei W. Hwu Chai: Collaborative heterogeneous applications for integrated-architectures. Search on Bibsonomy ISPASS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Li Wang, Ren-Wei Tsai, Shao-Chung Wang, Kun-Chih Chen, Po-Han Wang 0001, Hsiang-Yun Cheng, Yi-Chung Lee, Sheng-Jie Shu, Chun-Chieh Yang, Min-Yih Hsu, Li-Chen Kan, Chao-Lin Lee, Tzu-Chieh Yu, Rih-Ding Peng, Chia-Lin Yang, Yuan-Shin Hwang, Jenq Kuen Lee, Shiao-Li Tsao, Ming Ouhyoung Analyzing OpenCL 2.0 workloads using a heterogeneous CPU-GPU simulator. Search on Bibsonomy ISPASS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Rakesh Kumar 0003, José Cano 0001, Aleksandar Brankovic, Demos Pavlou, Kyriakos Stavrou, Enric Gibert, Alejandro Martínez, Antonio Gonzalez HW/SW co-designed processors: Challenges, design choices and a simulation infrastructure for evaluation. Search on Bibsonomy ISPASS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Siva Kumar Sastry Hari, Timothy Tsai 0002, Mark Stephenson, Stephen W. Keckler, Joel S. Emer SASSIFI: An architecture-level fault injection tool for GPU application resilience evaluation. Search on Bibsonomy ISPASS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Mehdi Alipour, Trevor E. Carlson, Stefanos Kaxiras A taxonomy of out-of-order instruction commit. Search on Bibsonomy ISPASS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Qiumin Xu, Manu Awasthi, Krishna T. Malladi, Janki Bhimani, Jingpei Yang, Murali Annavaram Docker characterization on high performance SSDs. Search on Bibsonomy ISPASS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Lizy Kurian John Machine learning for performance and power modeling/prediction. Search on Bibsonomy ISPASS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Thomas Grass, Alejandro Rico, Marc Casas, Miquel Moretó, Eduard Ayguadé TaskPoint: Sampled simulation of task-based programs. Search on Bibsonomy ISPASS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Vi Ngoc-Nha Tran, Brendan Barry, Phuong Hoai Ha RTHpower: Accurate fine-grained power models for predicting race-to-halt effect on ultra-low power embedded systems. Search on Bibsonomy ISPASS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Mohammad Arjomand, Amin Jadidi, Mahmut T. Kandemir, Anand Sivasubramaniam, Chita R. Das MLC PCM main memory with accelerated read. Search on Bibsonomy ISPASS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Tatsuhiro Chiba, Tamiya Onodera Workload characterization and optimization of TPC-H queries on Apache Spark. Search on Bibsonomy ISPASS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Renji Thomas, Naser Sedaghati, Radu Teodorescu EmerGPU: Understanding and mitigating resonance-induced voltage noise in GPU architectures. Search on Bibsonomy ISPASS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Vignesh Adhinarayanan, Wu-chun Feng An automated framework for characterizing and subsetting GPGPU workloads. Search on Bibsonomy ISPASS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Andreas Moshovos Message from the program chair. Search on Bibsonomy ISPASS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Christos Sakalis, Carl Leonardsson, Stefanos Kaxiras, Alberto Ros 0001 Splash-3: A properly synchronized benchmark suite for contemporary research. Search on Bibsonomy ISPASS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Lei Wang 0004, Rui Ren, Jianfeng Zhan, Zhen Jia 0001 Characterization and architectural implications of big data workloads. Search on Bibsonomy ISPASS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Ján Veselý, Arkaprava Basu, Mark Oskin, Gabriel H. Loh, Abhishek Bhattacharjee Observations and opportunities in architecting shared virtual memory for heterogeneous systems. Search on Bibsonomy ISPASS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Saoni Mukherjee, Yifan Sun 0002, Paul Blinzer, Amir Kavyan Ziabari, David R. Kaeli A comprehensive performance analysis of HSA and OpenCL 2.0. Search on Bibsonomy ISPASS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Johnathan Alsop, Matthew D. Sinclair, Rakesh Komuravelli, Sarita V. Adve GSI: A GPU Stall Inspector to characterize the sources of memory stalls for tightly coupled GPUs. Search on Bibsonomy ISPASS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Narges Shahidi, Mohammad Arjomand, Anand Sivasubramaniam, Mahmut T. Kandemir, Chita R. Das Storage consolidation: Not always a panacea, but can we ease the pain? Search on Bibsonomy ISPASS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Jeff Bush, Mohammad A. Khasawneh, Khaled Z. Mahmoud, Timothy N. Miller NyuziRaster: Optimizing rasterizer performance and energy in the Nyuzi open source GPU. Search on Bibsonomy ISPASS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Farzad Fatollahi-Fard, David Donofrio, George Michelogiannakis, John Shalf OpenSoC Fabric: On-chip network generator. Search on Bibsonomy ISPASS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Nikos Nikoleris, Andreas Sandberg, Erik Hagersten, Trevor E. Carlson CoolSim: Eliminating traditional cache warming with fast, virtualized profiling. Search on Bibsonomy ISPASS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Andi Drebes, Antoniu Pop, Karine Heydemann, Albert Cohen 0001 Interactive visualization of cross-layer performance anomalies in dynamic task-parallel applications and systems. Search on Bibsonomy ISPASS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Erik Hagersten Message from the general chair. Search on Bibsonomy ISPASS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Sotiris Tselonis, Dimitris Gizopoulos GUFI: A framework for GPUs reliability assessment. Search on Bibsonomy ISPASS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Michael A. Laurenzano, Ananta Tiwari, Allyson Cauble-Chantrenne, Adam Jundt, William A. Ward Jr., Roy L. Campbell, Laura Carrington Characterization and bottleneck analysis of a 64-bit ARMv8 platform. Search on Bibsonomy ISPASS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Minho Ju, Hyeonggyu Kim, Soontae Kim MofySim: A mobile full-system simulation framework for energy consumption and performance analysis. Search on Bibsonomy ISPASS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Gabriel Southern, Jose Renau Analysis of PARSEC workload scalability. Search on Bibsonomy ISPASS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Songchun Fan, Benjamin C. Lee Evaluating asymmetric multiprocessing for mobile applications. Search on Bibsonomy ISPASS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Maria Malik, Avesta Sasan, Rajiv V. Joshi, Setareh Rafatirah, Houman Homayoun Characterizing Hadoop applications on microservers for performance and energy efficiency optimizations. Search on Bibsonomy ISPASS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Radhika Jagtap, Stephan Diestelhorst, Andreas Hansson 0001 Elastic traces for fast and accurate system performance exploration. Search on Bibsonomy ISPASS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Hao Luo 0007, Jacob Brock, Pengcheng Li 0001, Chen Ding 0001, Chencheng Ye Compositional model of coherence and NUMA effects for optimizing thread and data placement. Search on Bibsonomy ISPASS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Georgios Smaragdos, Georgios Chatzikonstantis, Sofia Nomikou, Dimitrios Rodopoulos, Ioannis Sourdis, Dimitrios Soudris, Chris I. De Zeeuw, Christos Strydis Performance analysis of accelerated biophysically-meaningful neuron simulations. Search on Bibsonomy ISPASS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 761 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license