The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ISPD"( http://dblp.L3S.de/Venues/ISPD )

URL (DBLP): http://dblp.uni-trier.de/db/conf/ispd

Publication years (Num. hits)
1997 (34) 1998 (32) 1999 (33) 2000 (35) 2001 (36) 2002 (35) 2003 (32) 2004 (34) 2005 (45) 2006 (40) 2007 (33) 2008 (34) 2009 (34) 2010 (37) 2011 (31) 2012 (34) 2013 (39) 2014 (31) 2015 (30) 2016 (32) 2017 (32) 2018 (28) 2019 (40) 2020 (23) 2021 (27) 2022 (42) 2023 (50) 2024 (50)
Publication types (Num. hits)
inproceedings(956) proceedings(27)
Venues (Conferences, Journals, ...)
ISPD(983)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 841 occurrences of 340 keywords

Results
Found 983 publication records. Showing 983 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Wen-Hao Liu, Stefanus Mantik, Wing-Kai Chow, Yixiao Ding, Amin Farshidi, Gracieli Posser ISPD 2019 Initial Detailed Routing Contest and Benchmark with Advanced Routing Rules. Search on Bibsonomy ISPD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Dongwon Park, Ilgweon Kang, Yeseong Kim, Sicun Gao, Bill Lin 0001, Chung-Kuan Cheng ROAD: Routability Analysis and Diagnosis Framework Based on SAT Techniques. Search on Bibsonomy ISPD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Pierluigi Nuzzo 0002 Session details: Lifetime Achievement Award Tribute to Professor Alberto Sangiovanni-Vicentelli. Search on Bibsonomy ISPD The full citation details ... 2019 DBLP  BibTeX  RDF
1Nikolay Ryzhenko, Steven M. Burns, Anton Sorokin, Mikhail Talalay Pin Access-Driven Design Rule Clean and DFM Optimized Routing of Standard Cells under Boolean Constraints. Search on Bibsonomy ISPD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Prasanth Mangalagiri Analog Layout Synthesis: Are We There Yet? Search on Bibsonomy ISPD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Sheng-En David Lin, Dae Hyun Kim 0004 Construction of All Multilayer Monolithic Rectilinear Steiner Minimum Trees on the 3D Hanan Grid for Monolithic 3D IC Routing. Search on Bibsonomy ISPD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Patrick Madden Session details: Routing in All Forms. Search on Bibsonomy ISPD The full citation details ... 2019 DBLP  BibTeX  RDF
1Pierluigi Nuzzo 0002 From Electronic Design Automation to Cyber-Physical System Design Automation: A Tale of Platforms and Contracts. Search on Bibsonomy ISPD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Ismail Bustany Session details: Keynote. Search on Bibsonomy ISPD The full citation details ... 2019 DBLP  BibTeX  RDF
1Evangeline F. Y. Young Session details: Patterning and Machine Learning. Search on Bibsonomy ISPD The full citation details ... 2019 DBLP  BibTeX  RDF
1Masahiro Fujita Basic and Advanced Researches in Logic Synthesis and their Industrial Contributions. Search on Bibsonomy ISPD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Chung-Wei Lin From Electronic Design Automation to Automotive Design Automation. Search on Bibsonomy ISPD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Xingquan Li, Jianli Chen, Wenxing Zhu, Yao-Wen Chang Analytical Mixed-Cell-Height Legalization Considering Average and Maximum Movement Minimization. Search on Bibsonomy ISPD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Eriko Nurvitadhi FPGA-based Computing in the Era of AI and Big Data. Search on Bibsonomy ISPD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Alexandre Truppel, Tsun-Ming Tseng, Davide Bertozzi, José Carlos Alves, Ulf Schlichtmann PSION: Combining Logical Topology and Physical Layout Optimization for Wavelength-Routed ONoCs. Search on Bibsonomy ISPD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Kenneth Plaks A Perspective on Security and Trust Requirements for the Future. Search on Bibsonomy ISPD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Mahesh Iyer Session details: FPGA Special Session: Advances in Adaptable Heterogeneous Computing and Acceleration for Big Data. Search on Bibsonomy ISPD The full citation details ... 2019 DBLP  BibTeX  RDF
1Biying Xu, Shaolan Li, Chak-Wa Pui, Derong Liu 0002, Linxiao Shen, Yibo Lin, Nan Sun 0001, David Z. Pan Device Layer-Aware Analytical Placement for Analog Circuits. Search on Bibsonomy ISPD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Hua-Yu Chang, Iris Hui-Ru Jiang Multiple Patterning Layout Compliance with Minimizing Topology Disturbance and Polygon Displacement. Search on Bibsonomy ISPD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Stephen Yang Session details: New Advances in Placement. Search on Bibsonomy ISPD The full citation details ... 2019 DBLP  BibTeX  RDF
1Alberto L. Sangiovanni-Vincentelli My 50-Year Journey from Punched Cards to Swarm Systems. Search on Bibsonomy ISPD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Haoxing Ren Toward Intelligent Physical Design: Deep Learning and GPU Acceleration. Search on Bibsonomy ISPD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Renan Netto, Sheiny Fabre, Tiago Augusto Fontana, Vinicius S. Livramento, Laércio Lima Pilla, José Luís Güntzel How Deep Learning Can Drive Physical Synthesis Towards More Predictable Legalization. Search on Bibsonomy ISPD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Edward A. Lee Freedom From Choice and the Power of Models: in Honor of Alberto Sangiovanni-Vincentelli. Search on Bibsonomy ISPD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Mehdi Maasoumy Enterprise-wide AI-enabled Digital Transformation. Search on Bibsonomy ISPD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Ankur Sharma 0001, David G. Chinnery, Chris Chu Lagrangian Relaxation Based Gate Sizing With Clock Skew Scheduling - A Fast and Effective Approach. Search on Bibsonomy ISPD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Sachin S. Sapatnekar Electromigration-Aware Interconnect Design. Search on Bibsonomy ISPD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Noel Menezes Session details: Keynote. Search on Bibsonomy ISPD The full citation details ... 2019 DBLP  BibTeX  RDF
1David G. Chinnery Session details: Detailed Routing Contest Results. Search on Bibsonomy ISPD The full citation details ... 2019 DBLP  BibTeX  RDF
1Jacob K. White 0001 The Slow Start of Fast Spice: A Brief History of Timing. Search on Bibsonomy ISPD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Amit Gupta Advances in Adaptable Computing. Search on Bibsonomy ISPD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Patrick Groeneveld Session details: Cyber-Physical Systems. Search on Bibsonomy ISPD The full citation details ... 2019 DBLP  BibTeX  RDF
1Stefanus Mantik, Gracieli Posser, Wing-Kai Chow, Yixiao Ding, Wen-Hao Liu ISPD 2018 Initial Detailed Routing Contest and Benchmarks. Search on Bibsonomy ISPD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Yibo Lin, Yuki Watanabe, Taiki Kimura, Tetsuaki Matsunawa, Shigeki Nojima, Meng Li 0004, David Z. Pan Data Efficient Lithography Modeling with Residual Neural Networks and Transfer Learning. Search on Bibsonomy ISPD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Jiang Hu, Ying Zhou, Yaoguang Wei, Stephen T. Quay, Lakshmi N. Reddy, Gustavo E. Téllez, Gi-Joon Nam Interconnect Optimization Considering Multiple Critical Paths. Search on Bibsonomy ISPD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Chung-Kuan Cheng, T. C. Hu, Andrew B. Kahng Theory and Algorithms of Physical Design. Search on Bibsonomy ISPD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Biying Xu, Bulent Basaran, Ming Su, David Z. Pan Analog Placement Constraint Extraction and Exploration with the Application to Layout Retargeting. Search on Bibsonomy ISPD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Andrew B. Kahng Machine Learning Applications in Physical Design: Recent Results and Directions. Search on Bibsonomy ISPD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Elliott Delaye, Ashish Sirasao, Ehsan Ghasemi Exploration and Tradeoffs of different Kernels in FPGA Deep Learning Applications. Search on Bibsonomy ISPD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Andrew B. Kahng Influence of Professor T. C. Hu's Works on Fundamental Approaches in Layout. Search on Bibsonomy ISPD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1William N. N. Hung, Richard Sun Challenges in Large FPGA-based Logic Emulation Systems. Search on Bibsonomy ISPD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Chung-Kuan Cheng, Ronald L. Graham, Ilgweon Kang, Dongwon Park, Xinyuan Wang 0008 Tree Structures and Algorithms for Physical Design. Search on Bibsonomy ISPD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Bon Woong Ku, Kyungwook Chang, Sung Kyu Lim Compact-2D: A Physical Design Methodology to Build Commercial-Quality Face-to-Face-Bonded 3D ICs. Search on Bibsonomy ISPD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Alexey Lvov, Gustavo E. Téllez, Gi-Joon Nam On Coloring and Colorability Analysis of Integrated Circuits with Triple and Quadruple Patterning Techniques. Search on Bibsonomy ISPD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Charles J. Alpert, Wing-Kai Chow, Kwangsoo Han, Andrew B. Kahng, Zhuo Li 0001, Derong Liu 0002, Sriram Venkatesh Prim-Dijkstra Revisited: Achieving Superior Timing-driven Routing Trees. Search on Bibsonomy ISPD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Gordon R. Chiu, Andrew C. Ling, Davor Capalija, Andrew Bitar, Mohamed S. Abdelfattah Flexibility: FPGAs and CAD in Deep Learning Acceleration. Search on Bibsonomy ISPD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Raphael Andreoni Camponogara Viera, Jean-Max Dutertre, Philippe Maurine, Rodrigo Possamai Bastos Standard CAD Tool-Based Method for Simulation of Laser-Induced Faults in Large-Scale Circuits. Search on Bibsonomy ISPD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Wei Ye 0008, Meng Li 0004, Kai Zhong, Bei Yu 0001, David Z. Pan Power Grid Reduction by Sparse Convex Optimization. Search on Bibsonomy ISPD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Wan-Sin Kuo, Shi-Han Zhang, Wai-Kei Mak, Richard Sun, Yoon Kah Leow Pin Assignment Optimization for Multi-2.5D FPGA-based Systems. Search on Bibsonomy ISPD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Jens Lienig, Matthias Thiele The Pressing Need for Electromigration-Aware Physical Design. Search on Bibsonomy ISPD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Sheng-En David Lin, Dae Hyun Kim 0004 Construction of All Rectilinear Steiner Minimum Trees on the Hanan Grid. Search on Bibsonomy ISPD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Chris Chu, Ismail Bustany (eds.) Proceedings of the 2018 International Symposium on Physical Design, ISPD 2018, Monterey, CA, USA, March 25-28, 2018 Search on Bibsonomy ISPD The full citation details ... 2018 DBLP  BibTeX  RDF
1Andrew B. Kahng, Christopher Moyes, Sriram Venkatesh, Lutong Wang Wot the L: Analysis of Real versus Random Placed Nets, and Implications for Steiner Tree Heuristics. Search on Bibsonomy ISPD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Chris Chu Pioneer Research on Mathematical Models and Methods for Physical Design. Search on Bibsonomy ISPD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1K. Charles Janac Interconnect Physical Optimization. Search on Bibsonomy ISPD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1S. Alexander Chin, Kuang Ping Niu, Matthew J. P. Walker, Shizhang Yin, Alexander Mertens, Jongeun Lee, Jason Helge Anderson Architecture Exploration of Standard-Cell and FPGA-Overlay CGRAs Using the Open-Source CGRA-ME Framework. Search on Bibsonomy ISPD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Leon Stok Concurrent High Performance Processor Design: From Logic to PD in Parallel. Search on Bibsonomy ISPD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1André Inácio Reis Towards a VLSI Design Flow Based on Logic Computation and Signal Distribution. Search on Bibsonomy ISPD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Li-C. Wang Machine Learning for Feature-Based Analytics. Search on Bibsonomy ISPD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Anthony M. Hill Challenges and Opportunities in Automotive, Industrial, and IoT Physical Design. Search on Bibsonomy ISPD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Lee-Chung Lu Physical Design Challenges and Innovations to Meet Power, Speed, and Area Scaling Trend. Search on Bibsonomy ISPD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Nima Karimpour Darav, Ismail S. Bustany, Andrew A. Kennings, Laleh Behjat A Fast, Robust Network Flow-based Standard-Cell Legalization Method for Minimizing Maximum Movement. Search on Bibsonomy ISPD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Tiago Fontana, Renan Netto, Vinicius S. Livramento, Chrystian Guth, Sheiny Almeida, Laércio Lima Pilla, José Luís Güntzel How Game Engines Can Inspire EDA Tools Development: A use case for an open-source physical design library. Search on Bibsonomy ISPD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Soroosh Khoram, Yue Zha, Jialiang Zhang, Jing Li 0073 Challenges and Opportunities: From Near-memory Computing to In-memory Computing. Search on Bibsonomy ISPD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Jinjia Zhou, Dajiang Zhou, Satoshi Goto 100x Evolution of Video Codec Chips. Search on Bibsonomy ISPD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Xifan Tang, Edouard Giacomin, Giovanni De Micheli, Pierre-Emmanuel Gaillardon Physical Design Considerations of One-level RRAM-based Routing Multiplexers. Search on Bibsonomy ISPD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Daohang Shi, Azadeh Davoodi Improving Detailed Routability and Pin Access with 3D Monolithic Standard Cells. Search on Bibsonomy ISPD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Eric S. Chung Deep Learning in the Enhanced Cloud. Search on Bibsonomy ISPD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Ramesh Karri Research Challenges in Security-Aware Physical Design. Search on Bibsonomy ISPD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Jiaojiao Ou, Bei Yu 0001, Xiaoqing Xu, Joydeep Mitra, Yibo Lin, David Z. Pan DSAR: DSA aware Routing with Simultaneous DSA Guiding Pattern and Double Patterning Assignment. Search on Bibsonomy ISPD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Satoshi Goto Past, Present and Future of the Research. Search on Bibsonomy ISPD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Pascal Cremer, Stefan Hougardy, Jan Schneider 0002, Jannik Silvanus Automatic Cell Layout in the 7nm Era. Search on Bibsonomy ISPD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Rickard Ewetz, Cheng-Kok Koh Clock Tree Construction based on Arrival Time Constraints. Search on Bibsonomy ISPD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Gang Wu 0002, Chris Chu A Fast Incremental Cycle Ratio Algorithm. Search on Bibsonomy ISPD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Mustafa Ozdal, Chris Chu (eds.) Proceedings of the 2017 ACM on International Symposium on Physical Design, ISDP 2017, Portland, OR, USA, March 19-22, 2017 Search on Bibsonomy ISPD The full citation details ... 2017 DBLP  BibTeX  RDF
1Charles J. Alpert Modern Challenges in Constructing Clocks. Search on Bibsonomy ISPD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Shounak Dhar, Mahesh A. Iyer, Saurabh N. Adya, Love Singhal, Nikolay Rubanov, David Z. Pan An Effective Timing-Driven Detailed Placement Algorithm for FPGAs. Search on Bibsonomy ISPD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Guilherme Flach, Mateus Fogaça, Jucemar Monteiro, Marcelo O. Johann, Ricardo Augusto da Luz Reis Rsyn: An Extensible Physical Synthesis Framework. Search on Bibsonomy ISPD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Ian Young 0001 Technology Options for Beyond-CMOS. Search on Bibsonomy ISPD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Pei-Hsin Ho Interesting Problems in Physical Synthesis. Search on Bibsonomy ISPD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Mahesh A. Iyer CAD Opportunities with Hyper-Pipelining. Search on Bibsonomy ISPD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Pradeep Dubey The Quest for The Ultimate Learning Machine. Search on Bibsonomy ISPD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Pei-Yu Lee, Iris Hui-Ru Jiang, Ting-You Yang iTimerM: Compact and Accurate Timing Macro Modeling for Efficient Hierarchical Timing Analysis. Search on Bibsonomy ISPD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Biying Xu, Shaolan Li, Xiaoqing Xu, Nan Sun 0001, David Z. Pan Hierarchical and Analytical Placement Techniques for High-Performance Analog Circuits. Search on Bibsonomy ISPD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Yao-Wen Chang Generalized Force Directed Relaxation with Optimal Regions and Its Applications to Circuit Placement. Search on Bibsonomy ISPD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Yixiao Ding, Chris Chu, Wai-Kei Mak Pin Accessibility-Driven Detailed Placement Refinement. Search on Bibsonomy ISPD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Wei-Ting Jonas Chan, Pei-Hsin Ho, Andrew B. Kahng, Prashant Saxena Routability Optimization for Industrial Designs at Sub-14nm Process Nodes Using Machine Learning. Search on Bibsonomy ISPD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Hang Zhang 0010, Fengyuan Zhu, Haocheng Li, Evangeline F. Y. Young, Bei Yu 0001 Bilinear Lithography Hotspot Detection. Search on Bibsonomy ISPD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Yuichi Nakamura 0002 The Spirit of in-house CAD Achieved by the Legend of Master "Prof. Goto" and his Apprentices. Search on Bibsonomy ISPD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Ilgweon Kang, Chung-Kuan Cheng Physical Layout after Half a Century: From Back-Board Ordering to Multi-Dimensional Placement and Beyond. Search on Bibsonomy ISPD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Ivo Bolsens Pushing the boundaries of Moore's Law to transition from FPGA to All Programmable Platform. Search on Bibsonomy ISPD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Stephen Yang, Chandra Mulpuri, Sainath Reddy, Meghraj Kalase, Srinivasan Dasasathyan, Mehrdad E. Dehkordi, Marvin Tom, Rajat Aggarwal Clock-Aware FPGA Placement Contest. Search on Bibsonomy ISPD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Victor Moroz Technology Inflection Points. Search on Bibsonomy ISPD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Karl Berggren, Caroline A. Ross, Hyung Wan Do, Jae-Byum Chang, Hong Kyoon Choi Cell-Based Design Methods for Directed Self-Assembly. Search on Bibsonomy ISPD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Jiaojiao Ou, Bei Yu 0001, David Z. Pan Concurrent Guiding Template Assignment and Redundant via Insertion for DSA-MP Hybrid Lithography. Search on Bibsonomy ISPD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Anja von Beuningen, Ulf Schlichtmann PLATON: A Force-Directed Placement Algorithm for 3D Optical Networks-on-Chip. Search on Bibsonomy ISPD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Martin D. F. Wong Early Days of Automatic Floorplan Design. Search on Bibsonomy ISPD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Safeen Huda, Jason Helge Anderson Power Optimization of FPGA Interconnect Via Circuit and CAD Techniques. Search on Bibsonomy ISPD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Wei Wu, Srinivas Bodapati, Lei He 0001 Hyperspherical Clustering and Sampling for Rare Event Analysis with Multiple Failure Region Coverage. Search on Bibsonomy ISPD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Steve Bigalke, Jens Lienig Load-Aware Redundant Via Insertion for Electromigration Avoidance. Search on Bibsonomy ISPD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 983 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license