The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "Integr."( http://dblp.L3S.de/Venues/Integr. )

URL (DBLP): http://dblp.uni-trier.de/db/journals/integration

Publication years (Num. hits)
1983 (21) 1984 (20) 1985 (23) 1986 (26) 1987 (23) 1988 (17) 1989 (40) 1990 (28) 1991 (49) 1992 (26) 1993 (39) 1994 (18) 1995 (19) 1996 (17) 1997 (30) 1998 (22) 1999 (16) 2000 (20) 2001-2002 (27) 2003 (26) 2004 (32) 2005 (21) 2006 (22) 2007 (50) 2008 (46) 2009 (49) 2010 (32) 2011 (28) 2012 (41) 2013 (42) 2014 (51) 2015 (69) 2016 (108) 2017 (120) 2018 (118) 2019 (145) 2020 (96) 2021 (98) 2022 (103) 2023 (154) 2024 (40)
Publication types (Num. hits)
article(1972)
Venues (Conferences, Journals, ...)
Integr.(1972)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
No Growbag Graphs found.

Results
Found 1972 publication records. Showing 1972 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Andrés Santana-Andreo, Pablo Saraza-Canflanca, Héctor Carrasco-Lopez, Piedad Brox, Rafael Castro-López, Elisenda Roca, Francisco V. Fernández 0001 A DRV-based bit selection method for SRAM PUF key generation and its impact on ECCs. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Arnau Salas Barenys, Neus Vidal, José María López-Villegas Very compact 3D-printed folded branch-line hybrid coupler based on loaded helical-microstrip transmission lines. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Aravindhan Alagarsamy, Sundarakannan Mahilmaran, Gopalakrishnan Lakshminarayanan, Seok-Bum Ko FRDS: An efficient unique on-Chip interconnection network architecture. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Mingtai Li, Tuanjie Li, Yaqiong Tang Improved thermal network modeling of die stacking DRAM and optimization. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Bo Li 0056, Guoyong Shi A CMOS rectified linear unit operating in weak inversion for memristive neuromorphic circuits. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Mohamed B. Elamien, Brent J. Maundy, Ahmed S. Elwakil, Leonid Belostotski Second-order cascode-based filters. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Xiang He, Zhufei Chu Stochastic circuit synthesis via satisfiability. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Chao Cao, Haijun Guo High-resolution calibrated successive-approximation-register analog-to-digital converter. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Alejandro Suanes, Michele Dei, Lluís Terés, Francisco Serra-Graells A 85dB-SNDR 50 kHz bootstrapping-free resistor-less SC Delta-Sigma modulator IP block for PVT-robust low-power ADCs. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Jani Babu Shaik, Sonal Singhal, Siona Menezes Picardo, Nilesh Goel Impact of various NBTI distributions on SRAM performance for FinFET technology. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Jinling Yang, Lang Li, Ying Guo, Xiantong Huang DULBC: A dynamic ultra-lightweight block cipher with high-throughput. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Ashish Valuskar, Madhu Shandilya, Arvind Rajawat Statistical traffic pattern for mixed torus topology and pathfinder based traffic and thermal aware routing protocol on NoC. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Qing Zhang 0008, Yuhang Zhang, Jizuo Li, Wei Lu, Yongfu Li 0002 Litho-NeuralODE 2.0: Improving hotspot detection accuracy with advanced data augmentation, DCT-based features, and neural ordinary differential equations. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Chen Tian 0011, Jianyong Lu, Liu Jun, Huaguo Liang, Yingchun Lu, Maoxiang Yi A reconfigurable test method based on LFSR for 3D stacking integrated circuits. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Jitumani Sarma, Shatadal Chatterjee, Rakesh Biswas, Sounak Roy A digitally controlled adaptive LDO for power management unit in sensor node. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yanze Li, Yufan Zhang, Jiafeng Liu, Jun Gong, Jian Wang 0036, Jinmei Lai, Xinxuan Tao, Gang Qu 0001 AutoTEA: An Automated Transistor-level Efficient and Accurate design tool for FPGA design. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Augusto Andre Souza Berndt, Cristina Meinhardt, André Inácio Reis, Paulo F. Butzen Optimizing machine learning logic circuits with constant signal propagation. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Navya Mohan, J. P. Anita Test and diagnosis pattern generation for distinguishing stuck-at faults and bridging faults. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yuanqing Cheng, Xiaochen Guo, Vasilis F. Pavlidis Emerging monolithic 3D integration: Opportunities and challenges from the computer system perspective. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1M. Mohamed Asan Basiri Efficient VLSI architecture of 3D discrete transformation. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1JiaHao Wei, Tian Zhao, Zheng Zhang, Jing Wan Modeling of CMOS transistors from 0.18 μm process by artificial neural network. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1S. Skandha Deepsita, Sk. Noor Mahammad Low power, high speed approximate multiplier for error resilient applications. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Javad Ahsan, Mohammad Esmaeildoust, Amer Kaabi, Vahid Zarei Efficient FPGA implementation of RNS Montgomery multiplication using balanced RNS bases. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Mohammad Moradinezhad Maryan, Seyed Javad Azhari, Majid Amini Valashani A self-control leakage-suppression block for low-power high-efficient static logic circuit design in 22 nm CMOS process. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Tsung-Han Tsai 0001, Pei-Yun Liu, Yu-He Chiou Hardware design for blind source separation using fast time-frequency mask technique. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Longhao Xu, Jie Zhang A Novel four - Wing chaotic system with multiple attractors based on hyperbolic sine: Application to image encryption*. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Tahesin Samira Delwar, Abrar Siddique, Manas Ranjan Biswal, Prangyadarsini Behera, Ahmed Nabih Zaki Rashed, Yeji Choi, Jee-Youl Ryu A novel dual mode configurable and tunable high-gain, high-efficient CMOS power amplifier for 5G applications. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Venkata Krishna Odugu, C. Venkata Narasimhulu, K. Satya Prasad A novel filter-bank architecture of 2D-FIR symmetry filters using LUT based multipliers. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yi Zhao, Hui Chen, Peng Liu, Jigang Wu, Dongxiang Luo An improved reconfigurable logic in resistive random access memory. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Pournamy Sukumaran, Maran Ponnambalam A two stage cascode LNA using modified derivative superposition technique in 0.13μm HBT with an IIP3 of 2 dBm and NF of 4.8 dB for IEEE 802.11ad standard. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Zhang Zhang, Ao Xu, Chao Li, Gang Liu, Xin Cheng 0001 Mathematical analysis and circuit emulator design of the three-valued memristor. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Mohamed Chentouf, Zine El Abidine Alaoui Ismaili A PUS based nets weighting mechanism for power, hold, and setup timing optimization. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Lakshmi Nediyara Suresh, Bhaskar Manickam Design and application of CMOS active inductor in bandpass filter and VCO for reconfigurable RF front-end. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Güney Isik Tombak, Seyda Nur Güzelhan, Engin Afacan, Günhan Dündar Simulated annealing assisted NSGA-III-based multi-objective analog IC sizing tool. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Nessrine Abbassi, Mohamed Gafsi, Rim Amdouni, Mohamed Ali Hajjaji, Abdellatif Mtibaa Hardware implementation of a robust image cryptosystem using reversible cellular-automata rules and 3-D chaotic systems. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Aibin Yan, Kuikui Qian, Tai Song, Zhengfeng Huang, Tianming Ni, Yu Chen, Xiaoqing Wen A double-node-upset completely tolerant CMOS latch design with extremely low cost for high-performance applications. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Abderrezzaq Bouhdjeur, Mohamed Salah Azzaz, Djamel Teguig, Camel Tanougast, Abdelmadjid Maali An optimised hardware architecture of the angular-domain cyclostationary detector for cognitive radio communications. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Manas Parai, Supriyo Srimani, Kasturi Ghosh, Hafizur Rahaman 0001 Multi-source data fusion technique for parametric fault diagnosis in analog circuits. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Fábio G. R. G. da Silva, Rafael N. M. Oliveira, Alexandra L. Zimpeck, Cristina Meinhardt, Ricardo Reis 0001 Exploring XOR-based Full Adders and decoupling cells to variability mitigation at FinFET technology. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Johann Knechtel, Tarek Ashraf, Natascha Fernengel, Satwik Patnaik, Mohammed Nabeel 0001, Mohammed Ashraf, Ozgur Sinanoglu, Hussam Amrouch Design-time exploration of voltage switching against power analysis attacks in 14 nm FinFET technology. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Shuang Xie BJT induced dark current in CMOS image sensors. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Inga Abel, Maximilian Neuner, Helmut Graeb A functional block decomposition method for automatic op-amp design. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Mehmet Ali Gulden, Ertan Zencir, Enver Çavus Self calibrated cooler-less microbolometer readout architecture. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Dharmaray Nedalgi, Saroja V. Siddamal Differential receiver with 2 × VDD input signals using 1 × VDD devices. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Raja Arslan Naseer, Muneeba Nasim, Muhummad Sohaib, Ch. Jabbar Younis, Anzar Mehmood, Mehboob Alam, Yehia Massoud VLSI architecture design and implementation of 5/3 and 9/7 lifting Discrete Wavelet Transform. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Meenali Janveja, Gaurav Trivedi An area and power efficient VLSI architecture for ECG feature extraction for wearable IoT healthcare applications. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Tai Song, Zhengfeng Huang, Aibin Yan Machine learning classification algorithm for VLSI test cost reduction. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Suoyue Zhan, Chunhong Chen A hybrid method for signal probability and reliability estimation with combinational circuits. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Heechun Park, Taewhan Kim Speeding-up neuromorphic computation for neural networks: Structure optimization approach. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Bo Liu 0031, Pengfei Wang, Kai Li, Binrui Xu, Jincan Zhang, Liwen Zhang A precision programmable multilevel voltage output and low-temperature-variation CMOS bandgap reference with area-efficient transistor-array layout. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Lin Li, Yici Cai, Qiang Zhou 0001 A survey on machine learning-based routing for VLSI physical design. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Bo Wang, Haoying Wu, Mingyu Liu Resource allocation applied to flexible printed circuit routing based on constrained Delaunay triangulation. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Anas Razzaq, Sajjad Rostami Sani, Andy Gean Ye The effect of gate voltage boosting on the power efficiency of multi-context FPGAs. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Hamed Aminzadeh, Mohammad Mahdi Valinezhad Picowatt 0.3-V MOS-only voltage reference based on a picoamp cascode current generator. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Ihab Abderraouf Boulham, Ahsene Boubakir, Salim Labiod Neural network ℒ1 adaptive control for a class of uncertain fractional order nonlinear systems. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Weize Yu Convex optimization of random dynamic voltage and frequency scaling against power attacks. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Dan Luo, Tun Li, Liqian Chen, Hongji Zou, Mingchuan Shi Grammar-based fuzz testing for microprocessor RTL design. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Ke Hu, Wenhao Sun, Zhongbo Nie, Ran Cheng, Song Chen 0001, Yi Kang Real-time infrared small target detection network and accelerator design. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Shaohui Yan, Yu Ren, Zhenlong Song, Wanlin Shi, Xi Sun A memristive chaotic system with rich dynamical behavior and circuit implementation. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Rongjin Xu, Dawei Ye, Chuanjin Richard Shi A 2.0-2.9 GHz ring-based injection-locked clock multiplier using a self-alignment frequency-tracking loop for reference spur reduction. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Tsung-Han Tsai 0001, Hsing-Chuang Liu Design and implementation of filterbank for MPEG-2/4 AAC system. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yaru Wang, Ming Tang 0002, Pengbo Wang, Botao Liu, Rui Tian The Levene test based-leakage assessment. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Bo Wang, Sheng Ma, Guoyi Zhu, Xiao Yi, Rui Xu A novel systolic array processor with dynamic dataflows. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Ali Ghorbani, Mehdi Dolatshahi, Sayed Mohammad Ali Zanjani, Behrang Barekatain A new low-power Dynamic-GDI full adder in CNFET technology. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Genggeng Liu, Hongbin Huang, Zhisheng Chen, Hongxing Lin, Hui Liu, Xing Huang, Wenzhong Guo Design automation for continuous-flow microfluidic biochips: A comprehensive review. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Manoj Joshi, Ashish Ranjan 0002 Low power chaotic oscillator employing CMOS. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Samuel Sirois, Messaoud Ahmed Ouameur, Daniel Massicotte High level synthesis strategies for ultra fast and low latency matrix inversion implementation for massive MIMO processing. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Wenhao Liu, Huakui Lei, Hongfei Liu, Peng Jiang Design of an ultra-wideband LNA using transformer matching method. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1J. Shanthi, D. Gracia Nirmala Rani, S. Rajaram 0001 An Enhanced Memetic Algorithm using SKB tree representation for fixed-outline and temperature driven non-slicing floorplanning. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Monalisa Swain, Debabala Swain An effective watermarking technique using BTC and SVD for image authentication and quality recovery. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1B. Dinesh Kumar 0001, Hitesh Shrimali Design and implementation of a second order PLL based frequency synthesizer for implantable medical devices. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Qing Zhang 0008, Yuhang Zhang, Jizuo Li, Yongfu Li 0002 WDP-BNN: Efficient wafer defect pattern classification via binarized neural network. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Tai Song, Tianming Ni, Zhengfeng Huang, Jinlei Wan Valid test pattern identification for VLSI adaptive test. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Rui Hao, Yici Cai, Qiang Zhou 0001 Intelligent and kernelized placement: A survey. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Zewei Zhang, Xiao Zhao, Liyuan Dong, Shuoyang Li A high-efficiency feedforward compensation method for capacitor-less LDO. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Rim Amdouni, Mohamed Gafsi, Ramzi Guesmi, Mohamed Ali Hajjaji, Abdellatif Mtibaa, El-Bay Bourennane High-performance hardware architecture of a robust block-cipher algorithm based on different chaotic maps and DNA sequence encoding. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Mihika Mahendra, Shweta Kumari, Maneesha Gupta Low voltage fully differential OTA using DTMOS based self cascode transistor with slew-rate enhancement and its filter application. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1M. Mehrdad Morsali, Majid Shalchian Switched pseudo-current mirror inverter for low-power, thermally stable and robust ring oscillator. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Krishnaveni Bukkapatnam, Jaikaran Singh VLSI implementation of low-power and area efficient parallel memory allocation with EC-TCAM. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Paul P. Sotiriadis, Nikos Temenos Compact MAX and MIN Stochastic Computing architectures. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yassin Kortli, Souhir Gabsi, Maher Jridi, Ayman Alfalou, Mohamed Atri Hw/Sw Co-Design technique for 2D fast fourier transform algorithm on Zynq SoC. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Jose Angel Miranda, Manuel Felipe Canabal, Laura Gutiérrez-Martín, José Manuel Lanza-Gutiérrez, Celia López-Ongil Edge computing design space exploration for heart rate monitoring. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Van-Toan Tran, Quang-Kien Trinh, Van-Phuc Hoang A robust Euclidean metric based ID extraction method using RO-PUFs in FPGA. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Xuanqi Chen, Yuxiang Fu, Jun Feng 0008, Jiaxu Zhang, Shixi Chen, Jiang Xu 0001 Improving the thermal reliability of photonic chiplets on multicore processors. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Ankur Gogoi, Bibhas Ghoshal, Akash Sachan, Rakesh Kumar 0012, Kanchan Manna Application driven routing for mesh based Network-on-Chip architectures. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Benzheng Li, Zhongdong Qi, Zhengguang Tang, Xiyi He, Hailong You High quality hypergraph partitioning for logic emulation. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Ehsan Zia, Alireza Shamsi, Jalil Mazloum New approach for digital calibration of pipelined analog to digital converters based on secant method. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Hernan Aparicio, Pablo Ituero, Marisa López-Vallejo Reference-free power supply monitor with enhanced robustness against process and temperature variations. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Dingcheng Yang, Wenjian Yu, Xiangyun Ding, Ao Zhou, Xiaoyi Wang DP-Nets: Dynamic programming assisted quantization schemes for DNN compression and acceleration. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1P. Meenakshi Vidya, S. Sudha A fully integrated VLSI architecture using chaotic PWM for RF transmitter design with electromagnetic interference reduction. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Naveen Kumar Macha, Md Arif Iqbal, Bhavana Tejaswini Repalle, Mostafizur Rahman On circuit developments to enable large scale circuit design while computing with noise. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Farshad Aghasharif, Mohammadreza Malekpour, Reza Bigdeli, Pooya Torkzadeh An 8 bits, RF UHF-Band DAC based on interleaved bandpass delta sigma modulator assisted by background digital calibration. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Xinfei Guo, Mohamed El-Hadedy 0001, Sergiu Mosanu, Xiangdong Wei, Kevin Skadron, Mircea R. Stan Agile-AES: Implementation of configurable AES primitive with agile design approach. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Bahram Rashidi Glitch-less hardware implementation of block ciphers based on an efficient glitch filter. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Raghav Shekhar, Chaudhry Indra Kumar Design of highly reliable radiation hardened 10T SRAM cell for low voltage applications. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Mithilesh Kumar 0008, Alak Majumder, Abir J. Mondal, Arijit Raychowdhury, Bidyut K. Bhattacharyya A low power and PVT variation tolerant mux-latch for serializer interface and on-chip serial link. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Ashima Gupta, Anil Singh, Manu Bansal, Alpana Agarwal Functional validation of highly synthesizable voltage comparator on FPGA. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Mingshu Chen, Zhen Wang 0012, Fahimeh Nazarimehr, Sajad Jafari A novel memristive chaotic system without any equilibrium point. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Mohammad Karimian, Emad Ebrahimi A C-band low-power sub-1volt current-reused multiphase oscillator. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Bibin Sam Paul S, Antony Xavier Glittas, Gopalakrishnan Lakshminarayanan A low latency modular-level deeply integrated MFCC feature extraction architecture for speech recognition. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 1972 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license