The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Multithreading with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1988-1993 (16) 1994-1995 (28) 1996 (31) 1997 (26) 1998 (27) 1999 (49) 2000 (43) 2001 (42) 2002 (52) 2003 (55) 2004 (74) 2005 (76) 2006 (82) 2007 (83) 2008 (75) 2009 (76) 2010 (46) 2011 (21) 2012 (19) 2013 (15) 2014 (24) 2015 (17) 2016 (18) 2017 (15) 2018 (17) 2019 (17) 2020 (17) 2021-2022 (23) 2023-2024 (9)
Publication types (Num. hits)
article(227) book(4) incollection(7) inproceedings(838) phdthesis(17)
Venues (Conferences, Journals, ...)
IPDPS(42) PPoPP(30) ISCA(27) IEEE Trans. Computers(25) HPCA(21) ICS(19) Euro-Par(18) MICRO(18) IEEE Trans. Parallel Distribut...(16) IEEE PACT(15) PACT(15) ASPLOS(13) HiPC(13) ICPP(13) PLDI(12) CASES(11) More (+10 of total 414)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1414 occurrences of 593 keywords

Results
Found 1093 publication records. Showing 1093 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
22Satoshi Matsushita Design Experience of a Chip Multiprocessor Merlot and Expectation to Functional Verification. Search on Bibsonomy ISSS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF deign experience, CMP, chip multiprocessor, functional verification, speculative multithreading
22Peter Pirsch, Carsten Reuter, Jens Peter Wittenburg, Mark Bernd Kulaczewski, Hans-Joachim Stolberg Architecture Concepts for Multimedia Signal Processing. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF associative controlling, multimedia, VLSI, reconfigurable computing, MPEG-4, simultaneous multithreading
22Dimitrios Katramatos, Deepak Saxena, Nehal Mehta, Steve J. Chapin A Cost/Benefit Model for Dynamic Resource Sharing. Search on Bibsonomy Heterogeneous Computing Workshop The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Dynamic Resource Sharing, Performance Prediction Multicomputer Clusters, Multithreading, Distributed Shared Memory, Cost Model
22Abdelaziz Chihoub, Y. J. Bai, Visvanathan Ramesh An Imaging Library for a TriCore Based Digital Camera. Search on Bibsonomy CAMP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF TriCore, image processing library, image processing, parallel processing, multithreading, digital camera, imaging library
22Shu-Ching Chen, Xinran Wang, Naphtali Rishe, Mark Allen Weiss A high-performance Web-based system design for spatial data accesses. Search on Bibsonomy ACM-GIS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF internally distributed multithreading, semantic R-tree, GIS
22Liang Peng, Weng-Fai Wong, Ming-Dong Feng, Chung-Kwong Yuen SilkRoad: A Multithreaded Runtime System with Software Distributed Shared Memory for SMP Clusters. Search on Bibsonomy CLUSTER The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Cluster computing, multithreading, software distributed shared memory
22Jack L. Lo, Sujay S. Parekh, Susan J. Eggers, Henry M. Levy, Dean M. Tullsen Software-Directed Register Deallocation for Simultaneous Multithreaded Processors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF architecture, register file, simultaneous multithreading, Multithreaded architecture
22Heiko Oehring, Ulrich Sigmund, Theo Ungerer MPEG-2 Video Decompression on Simultaneous Multithreaded Multimedia Processors. Search on Bibsonomy IEEE PACT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF MPEG-2 video decompression, Simultaneous multithreading, multimedia extension
22Daniel Ortega, Ivan Martel, Venkata Krishnan, Eduard Ayguadé, Mateo Valero Quantifying the Benefits of SPECint Distant Parallelism in Simultaneous Multi-Threading Architectures. Search on Bibsonomy IEEE PACT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF distant parallelism, integer applications, multithreading
22Eric Rotenberg AR-SMT: A Microarchitectural Approach to Fault Tolerance in Microprocessors. Search on Bibsonomy FTCS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF branch prediction and value prediction, trace processors, transient faults, simultaneous multithreading, time redundancy
22Peter Sulatycke, Kanad Ghose A Fast Multithreaded Out-of-Core Visualization Technique. Search on Bibsonomy IPPS/SPDP The full citation details ... 1999 DBLP  DOI  BibTeX  RDF isosurface rendering, multithreading, out-of-core visualization
22Jesper Eskilson, Mats Carlsson SICStus MT - A Multithreaded Execution Environment for SICStus Prolog. Search on Bibsonomy PLILP/ALP The full citation details ... 1998 DBLP  DOI  BibTeX  RDF logic programming, implementation, multithreading, machines
22Nils Knafla A Prefetching Technique for Object-Oriented Databases. Search on Bibsonomy BNCOD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF application access pattern, performance analysis, distribution, object-oriented databases, multithreading, prefetching, storage management
22Nils Knafla Speed Up Your Database Client with Adaptable Multithreaded Prefetching. Search on Bibsonomy HPDC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF database client, adaptable multithreaded prefetching, complex object benchmark, multiple clients, multiple servers, buffer pool sizes, multithreading, prefetching, client-server systems, client/server, object database
22Manu Gulati, Nader Bagherzadeh Performance Study of a Multithreaded Superscalar Microprocessor. Search on Bibsonomy HPCA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF multithreading, instruction-level parallelism, Superscalars, out-of-order execution
18Ron Gabor, Avi Mendelson, Shlomo Weiss Service level agreement for multithreaded processors. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF performance, fairness, throughput, power, Service level agreement
18Grzegorz Polaków, Mieczyslaw Metzger Design and Implementation of LabVIEW-Based IEC61499 Compliant Device. Search on Bibsonomy HoloMAS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF IEC 61499, distributed control, LabVIEW, run-time environment, holonic systems
18Ken-ichi Nomura, Richard Seymour, Weiqiang Wang, Hikmet Dursun, Rajiv K. Kalia, Aiichiro Nakano, Priya Vashishta, Fuyuki Shimojo, Lin H. Yang A metascalable computing framework for large spatiotemporal-scale atomistic simulations. Search on Bibsonomy IPDPS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Pascal Vezolle, Stéphane Vialle, Xavier Warin Large scale experiment and optimization of a distributed stochastic control algorithm. Application to energy management problems. Search on Bibsonomy IPDPS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Martin Sandrieser, Sabri Pllana, Siegfried Benkner Evaluation of the SUN UltraSparc T2+ Processor for Computational Science. Search on Bibsonomy ICCS (1) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Sun UltraSparc T2+, Niagara2, Evaluation, Computational Science
18Chen Liu 0001, Jean-Luc Gaudiot The Impact of Resource Sharing Control on the Design of Multicore Processors. Search on Bibsonomy ICA3PP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Carlos Madriles, Carlos García Quiñones, F. Jesús Sánchez, Pedro Marcuello, Antonio González 0001, Dean M. Tullsen, Hong Wang 0003, John Paul Shen Mitosis: A Speculative Multithreaded Processor Based on Precomputation Slices. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Speculative thread level parallelism, pre-computation slices, thread partitioning, multi-core architecture
18Yaoping Ruan, Vivek S. Pai, Erich M. Nahum, John M. Tracey Do commodity SMT processors need more OS research? Search on Bibsonomy ACM SIGOPS Oper. Syst. Rev. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Kyle B. Wheeler, Richard C. Murphy, Douglas Thain Qthreads: An API for programming with millions of lightweight threads. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Pekka Jääskeläinen, Pertti Kellomäki, Jarmo Takala, Heikki Kultala, Mikael Lepistö Reducing Context Switch Overhead with Compiler-Assisted Threading. Search on Bibsonomy EUC (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Manoj Gupta 0001, Fermín Sánchez, Josep Llosa Merge Logic for Clustered Multithreaded VLIW Processors. Search on Bibsonomy DSD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Roger Moussali, Nabil Ghanem, Mazen A. R. Saghir Microarchitectural Enhancements for Configurable Multi-Threaded Soft Processors. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Jonathan W. Berry, Bruce Hendrickson, Simon Kahan, Petr Konecny Software and Algorithms for Graph Queries on Multithreaded Architectures. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Shigeru Kusakabe, Satoshi Yamada, Mitsuhiro Aono, Masaaki Izumi, Satoshi Amamiya, Yoshinari Nomura, Hideo Taniguchi, Makoto Amamiya OS Mechanism for Continuation-based Fine-grained Threads on Dedicated and Commodity Processors. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Sheng Li 0007, Amit Kashyap, Shannon K. Kuntz, Jay B. Brockman, Peter M. Kogge, Paul L. Springer, Gary Block A Heterogeneous Lightweight Multithreaded Architecture. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Vaidyanathan Ramadurai, Sanjay Jinturkar, Mayan Moudgill, C. John Glossner Design and Implementation of a Multithreaded High Resolution MPEG4 Decoder on Sandblaster DSP. Search on Bibsonomy ESTIMedia The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Carsten Albrecht, Andreas C. Döring, Frank Penczek, Torben Schneider, Hannes Schulz Impact of Coprocessors on a Multithreaded Processor Design Using Prioritized Threads. Search on Bibsonomy PDP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Amit Vasudevan, Ramesh Yerraballi SPiKE: engineering malware analysis tools using unobtrusive binary-instrumentation. Search on Bibsonomy ACSC The full citation details ... 2006 DBLP  BibTeX  RDF security, instrumentation, malware
18Bernhard Fechner A Fault-Tolerant Dynamic Fetch Policy for SMT Processors in Multi-Bus Environments. Search on Bibsonomy PARELEC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Kyriakos Stavrou, Pedro Trancoso, Paraskevas Evripidou Hardware Budget and Runtime System for Data-Driven Multithreaded Chip Multiprocessor. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Guy L. Steele Jr. Parallel Programming and Parallel Abstractions in Fortress. Search on Bibsonomy FLOPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Lei Wang 0003, Niral Patel Reducing error accumulation effect in multithreaded memory systems. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Amit Vasudevan, Ramesh Yerraballi Cobra: Fine-grained Malware Analysis using Stealth Localized-executions. Search on Bibsonomy S&P The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Kaiyu Chen, Sharad Malik Dependable Multithreaded Processing Using Runtime Validation. Search on Bibsonomy PRDC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Matthew Curtis-Maury, Tanping Wang Integrating Multiple Forms of Multithreaded Execution on multi-SMT Systems: A Study with Scientific Applications. Search on Bibsonomy QEST The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Arnaldo S. R. Oliveira, Valery Sklyarov, António de Brito Ferrari ARPA - A Technology Independent and Synthetizable System-on-Chip Model for Real-Time Applications. Search on Bibsonomy DSD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Taku Ohsawa, Masamichi Takagi, Shoji Kawahara, Satoshi Matsushita Pinot: Speculative Multi-threading Processor Architecture Exploiting Parallelism over a Wide Range of Granularities. Search on Bibsonomy MICRO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Nathan Tuck, Dean M. Tullsen Multithreaded Value Prediction. Search on Bibsonomy HPCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Caixia Sun, Hong-Wei Tang, Minxuan Zhang A Fetch Policy Maximizing Throughput and Fairness for Two-Context SMT Processors. Search on Bibsonomy APPT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Chen-Liang Fang, Deron Liang, Fengyi Lin A Nested Invocation Suppression Mechanism for Cctive Replicated SOAP Systems. Search on Bibsonomy APSEC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Mohamed M. Zahran, Manoj Franklin Dynamic Thread Resizing for Speculative Multithreaded Processors. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Jun Ni 0001, Lili Huang, Tao He 0003, Yongxiang Zhang, Shaowen Wang, Boyd M. Knosp, Chinglong Lin Distributed Computation for Diffusion Problem in a P2P-Enhanced Computing System. Search on Bibsonomy GCC (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Gautham K. Dorai, Donald Yeung Transparent Threads: Resource Sharing in SMT Processors for High Single-Thread Performance. Search on Bibsonomy IEEE PACT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Rajesh K. Gupta 0001, Sandeep K. Shukla, Nick Savoiu Efficient Simulation of Synthesis-Oriented System Level Designs. Search on Bibsonomy ISSS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF simulation, SystemC, system-level design
18Yan Chen 0005, Xinyuan Fan, Jian Jiao 0008, Dongmin Wang Smart Active Object: A New Object-Oriented Programming Paradigm for Developing Multithreaded Applications. Search on Bibsonomy Metainformatics The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Krishna M. Kavi, Roberto Giorgi, Joseph Arul Scheduled Dataflow: Execution Paradigm, Architecture, and Performance Evaluation. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF superscalar, Thread Level Parallelism, Multithreaded architectures, decoupled architectures, dataflow architectures
18Jeremy Manson, William W. Pugh Core semantics of multithreaded Java. Search on Bibsonomy Java Grande The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Java
18Ioannis E. Venetis, Dimitrios S. Nikolopoulos, Theodore S. Papatheodorou A Transparent Operating System Infrastructure for Embedding Adaptability to Thread-Based Programming Models. Search on Bibsonomy Euro-Par The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Marc Tremblay, Jeffrey Chan, Shailender Chaudhry, Andrew W. Conigliaro, Shing Sheung Tse The MAJC Architecture: A Synthesis of Parallelism and Scalability. Search on Bibsonomy IEEE Micro The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Xinan Tang, Manning Aalsma, Raymond Jou A Compiler Directed Approach to Hiding Configuration Latency in Chameleon Processors. Search on Bibsonomy FPL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18John S. Seng, Dean M. Tullsen, George Z. N. Cai Power-Sensitive Multithreaded Architecture. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Ashfaq A. Khokhar, Gerd Heber, Parimala Thulasiraman, Guang R. Gao Load Adaptive Algorithms and Implementations for the 2D Discrete Wavelet Transform on Fine-Grain Multithreaded Architectures. Search on Bibsonomy IPPS/SPDP The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Alexandre Carissimi, Marcelo Pasin Athapascan: An Experience on Mixing MPI Communications and Threads. Search on Bibsonomy PVM/MPI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
18Luis Villa, Roger Espasa, Mateo Valero Effective Usage of Vector Registers in Advanced Vector Architectures. Search on Bibsonomy IEEE PACT The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
18Yuan C. Chou, Daniel P. Siewiorek, John Paul Shen A Realistic Study on Multithreaded Superscalar Processor Design. Search on Bibsonomy Euro-Par The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
18John G. Holm, Steven Parkes, Prithviraj Banerjee Performance Evaluation of a C++ Library Based Multithreaded System. Search on Bibsonomy HICSS (1) The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
18Hyong-Shik Kim, Soonhoi Ha, Chu Shik Jhon Quantitative Analysis on Caching Effect of I-Structure Data in Frame-Based Multithreaded Processing. Search on Bibsonomy ICPP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Frame-based multithreaded processing, I-structure cache, frame parallelism, latency, quantitative analysis
18Alfred Mikschl, Werner Damm MSparc: A Multithreaded Sparc. Search on Bibsonomy Euro-Par, Vol. II The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
18Richard J. Eickemeyer, Ross E. Johnson, Steven R. Kunkel, Mark S. Squillante, Shiafun Liu Evaluation of Multithreaded Uniprocessors for Commercial Application Environments. Search on Bibsonomy ISCA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
18Anant Agarwal, Ricardo Bianchini, David Chaiken, Kirk L. Johnson, David A. Kranz, John Kubiatowicz, Beng-Hong Lim, Kenneth Mackenzie, Donald Yeung The MIT Alewife Machine: Architecture and Performance. Search on Bibsonomy ISCA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
18Anant Agarwal Performance Tradeoffs in Multithreaded Processors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF context-switchingoverhead, multiprocessingsystems, performance evaluation, parallel algorithms, caches, multiprocessor interconnection networks, storage management, data-sharing, buffer storage, switching theory, multithreaded processors, network bandwidth, network contention, parallelprogramming, cache interference
17Kai Zou, Xike Xie, Haoyun Li, X. Sean Wang Multithreading Heterogeneous Graph Aggregation. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
17Sanem Arslan, Osman S. Ünsal Efficient thread-to-core mapping alternatives for application-level redundant multithreading. Search on Bibsonomy Concurr. Comput. Pract. Exp. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Jing Zhang 0077, Yani Cui, Xiaozhong Fan, Jia Ren Asynchronous Multithreading Reinforcement Control Decision Method for Unmanned Surface Vessel. Search on Bibsonomy IEEE Internet Things J. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Tao Dong 0001, Xiaomei Gong, Aijuan Wang, Huaqing Li 0001, Tingwen Huang Data-Driven Tracking Control for Multi-Agent Systems With Unknown Dynamics via Multithreading Iterative Q-Learning. Search on Bibsonomy IEEE Trans. Syst. Man Cybern. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Jingyang Xiang, Siqi Li, Jun Chen 0023, Shipeng Bai, Yukai Ma, Guang Dai, Yong Liu 0007 SUBP: Soft Uniform Block Pruning for 1xN Sparse CNNs Multithreading Acceleration. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Ihor Prots'ko, Aleksandr Gryshchuk, Volodymyr Riznyk Efficient Multithreading Computation of Modular Exponentiation with Pre-computation of Residues for Fixed-base. Search on Bibsonomy CMIS The full citation details ... 2023 DBLP  BibTeX  RDF
17Kuan-Chieh Hsu, Hung-Wei Tseng 0001 Simultaneous and Heterogenous Multithreading. Search on Bibsonomy MICRO The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Xincheng Yang, Songping Mai, Rongxin Bao MispredTable: A Side Branch Predictor to TAGE in Multithreading Processors. Search on Bibsonomy ISCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Jingyang Xiang, Siqi Li, Jun Chen 0023, Guang Dai, Shipeng Bai, Yukai Ma, Yong Liu 0007 SUBP: Soft Uniform Block Pruning for 1×N Sparse CNNs Multithreading Acceleration. Search on Bibsonomy NeurIPS The full citation details ... 2023 DBLP  BibTeX  RDF
17Erkam Murat Bozkurt The usage of cybernetic in complex software systems and its application to the deterministic multithreading. Search on Bibsonomy Concurr. Comput. Pract. Exp. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Robert Ritchie 0001, Khodakhast Bibak DOTMIX-Pro: faster and more efficient variants of DOTMIX for dynamic-multithreading platforms. Search on Bibsonomy J. Supercomput. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Alfonso Rodríguez 0002, Andrés Otero, Marco Platzner, Eduardo de la Torre Exploiting Hardware-Based Data-Parallel and Multithreading Models for Smart Edge Computing in Reconfigurable FPGAs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Zhe Wang, Chen Xu, Kunal Agrawal, Jing Li 0025 Adaptive scheduling of multiprogrammed dynamic-multithreading applications. Search on Bibsonomy J. Parallel Distributed Comput. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Zichen He, Lu Dong 0002, Changyin Sun, Jiawei Wang Asynchronous Multithreading Reinforcement-Learning-Based Path Planning and Tracking for Unmanned Underwater Vehicle. Search on Bibsonomy IEEE Trans. Syst. Man Cybern. Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Jeongbin Kim 0001, Yongwoon Song, Kyungseon Cho, Hyukjun Lee, Hongil Yoon, Eui-Young Chung STT-MRAM-Based Multicontext FPGA for Multithreading Computing Environment. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Hwisoo So, Moslem Didehban, Yohan Ko, Aviral Shrivastava, Kyoungwoo Lee EXPERTISE: An Effective Software-level Redundant Multithreading Scheme against Hardware Faults. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Arijit Sarkar, Rekha Guchhait, Biswajit Sarkar Application of the Artificial Neural Network with Multithreading Within an Inventory Model Under Uncertainty and Inflation. Search on Bibsonomy Int. J. Fuzzy Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Nataliia O. Komleva, Svitlana Zinovatna, Vira Liubchenko, Oleksandr Komlevoi Engineering of Software Recommender Systems Based on a Neural Network with Multithreading. Search on Bibsonomy UkrPROG The full citation details ... 2022 DBLP  BibTeX  RDF
17Rafal Wojszczyk, Damian Giebas Multithreading Errors in Data Reading Automation. Search on Bibsonomy AUTOMATION The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Chiheb Sakka, Amina Guermouche, Olivier Aumage, Emmanuelle Saillard, Mark Potse, Yves Coudière, Denis Barthou A Comparison of Multithreading, Vectorization, and GPU Computing for the Acceleration of Cardiac Electrophysiology Models. Search on Bibsonomy CinC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Tong Zhang, Xiangjie Cui, Yichuan Wang, Yanning Du, Wen Gao 0014 TCS Security Analysis in Intel SGX Enclave MultiThreading. Search on Bibsonomy NaNA The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Huixin Zhan, Victor S. Sheng, Wei-Ming Lin Reinforcement learning-based register renaming policy for simultaneous multithreading CPUs. Search on Bibsonomy Expert Syst. Appl. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Pasquale Imperatore, Eugenio Sansosti Multithreading Based Parallel Processing for Image Geometric Coregistration in SAR Interferometry. Search on Bibsonomy Remote. Sens. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Sanem Arslan, Osman S. Unsal Efficient selective replication of critical code regions for SDC mitigation leveraging redundant multithreading. Search on Bibsonomy J. Supercomput. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17George K. Adam Multithreading on reconfigurable hardware: a performance evaluation approach of a multicore FPGA architecture. Search on Bibsonomy Int. J. High Perform. Syst. Archit. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Mehdi Nasri 0002, Imad Hafidi, Abdelmoutalib Metrane Multithreading Parallel Robust Approach for the VRPTW with Uncertain Service and Travel Times. Search on Bibsonomy Symmetry The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Stijn Schildermans, Jianchen Shan, Kris Aerts, Jason Jackrel, Xiaoning Ding Virtualization Overhead of Multithreading in X86 State-of-the-Art & Remaining Challenges. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Ercüment Kaya, Ömer Faruk Karadas, Isil Öz Evaluating Performance and Reliability of Selective Redundant Multithreading for GPGPU Applications. Search on Bibsonomy CERCIRAS The full citation details ... 2021 DBLP  BibTeX  RDF
17Josué Feliu, Alberto Ros 0001, Manuel E. Acacio, Stefanos Kaxiras ITSLF: Inter-Thread Store-to-Load Forwardingin Simultaneous Multithreading. Search on Bibsonomy MICRO The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Guanping Xiao, Jun Liu, Zheng Zheng 0001, Yulei Sui Nondeterministic Impact of CPU Multithreading on Training Deep Learning Systems. Search on Bibsonomy ISSRE The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Joshua Bakita, Shareef Ahmed, Sims Hill Osborne, Stephen Tang, Jingyuan Chen, F. Donelson Smith, James H. Anderson Simultaneous Multithreading in Mixed-Criticality Real-Time Systems. Search on Bibsonomy RTAS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Sai Srivatsa Gorti, Aadil Khalifa, Harini Thirunavukkarasan, Gayathri Nisha, M. Anand Kumar Smart Traffic Management System Using Multithreading and Inter-process Communication. Search on Bibsonomy ICCCNT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Hadley M. Siqueira, Márcio Eduardo Kreutz A simultaneous multithreading processor architecture with predictable timing behavior. Search on Bibsonomy Des. Autom. Embed. Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Mahdi Abbasi, Milad Rafiee, Mohammad Reza Khosravi Investigating the efficiency of multithreading application programming interfaces for parallel packet classification in wireless sensor networks. Search on Bibsonomy Turkish J. Electr. Eng. Comput. Sci. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 1093 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license