The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ReConFig"( http://dblp.L3S.de/Venues/ReConFig )

URL (DBLP): http://dblp.uni-trier.de/db/conf/reconfig

Publication years (Num. hits)
2005 (29) 2006 (42) 2008 (77) 2009 (78) 2010 (79) 2011 (85) 2012 (68) 2013 (84) 2014 (86) 2015 (80) 2016 (56) 2017 (53) 2018 (40) 2019 (43)
Publication types (Num. hits)
inproceedings(886) proceedings(14)
Venues (Conferences, Journals, ...)
ReConFig(900)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 389 occurrences of 238 keywords

Results
Found 900 publication records. Showing 900 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Osama G. Attia, Alex Grieve, Kevin R. Townsend, Phillip H. Jones, Joseph Zambreno Accelerating all-pairs shortest path using a message-passing reconfigurable architecture. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Alfonso Rodríguez 0002, Juan Valverde, Eduardo de la Torre Design of OpenCL-compatible multithreaded hardware accelerators with dynamic support for embedded FPGAs. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1C. Jayet-Griffon, Marie-Angela Cornelie, Paolo Maistri, Philippe Elbaz-Vincent, Régis Leveugle Polynomial multipliers for fully homomorphic encryption on FPGA. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Mohsen Ghasempour, Jonathan Heathcote, Javier Navaridas, Luis A. Plana, Jim D. Garside, Mikel Luján Analysis of FPGA and software approaches to simulate unconventional computer architectures. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Siddharth S. Bhargav, Rishvanth K. Prabakar, Young H. Cho Accurate in-situ runtime measurement of energy per operation of system-on-chip on FPGA. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Zhongyuan Zhao 0004, Weiguang Sheng, Naifeng Jing, Weifeng He, Zhigang Mao Resource-saving compile flow for coarse-grained reconfigurable architectures. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Timo Jaeschke, Patrick Imberg, Michael Zapke, Michael Hübner 0001, Nils Pohl Scalable modular hardware platform for FPGA based industrial radar flowmeters. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Zoltán Endre Rákossy, Axel Acosta-Aponte, Tobias G. Noll, Gerd Ascheid, Rainer Leupers, Anupam Chattopadhyay Design and synthesis of reconfigurable control-flow structures for CGRA. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Thaddeus Koehn, Peter Athanas Buffering strategies for ultra high-throughput stream processing. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Lukas Johannes Jung, Christian Hochberger Feasibility of high level compiler optimizations in online synthesis. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Sen Ma, Hongyuan Ding, Miaoqing Huang, David Andrews 0001 Archborn: an open source tool for automated generation of chip heterogeneous multiprocessor architectures. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Nikhil Thomas, Andrew Felder, Christophe Bobda Adaptive controller using runtime partial hardware reconfiguration for unmanned aerial vehicles (UAVs). Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Pongstorn Maidee, Alireza Kaviani Improving FPGA NoC performance using virtual cut-through switching technique. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Hongyuan Ding, Miaoqing Huang Exploiting hardware abstraction for hybrid parallel computing framework. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Vincent Migliore, Maria Mendez Real, Vianney Lapotre, Arnaud Tisserand, Caroline Fontaine, Guy Gogniat Exploration of polynomial multiplication algorithms for homomorphic encryption schemes. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Pei Zhang 0009, Aaron Mills, Joseph Zambreno, Phillip H. Jones A software configurable and parallelized coprocessor architecture for LQR control. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Jens Rettkowski, Andrew Boutros, Diana Göhringer Real-time pedestrian detection on a xilinx zynq using the HOG algorithm. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Stefan Gehrer, Sebastien Leger, Georg Sigl Aging effects on ring-oscillator-based physical unclonable functions on FPGAs. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Juri Schmidt, Ulrich Brüning 0001 openHMC - a configurable open-source hybrid memory cube controller. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Javier Pérez, Aiman Alabdo, Gabriel J. García, Jorge Pomares, Fernando Torres Medina FPGA-based visual control of robot manipulators using dynamic perceptibility. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Syed Waqar Nabi, Wim Vanderbauwhede Using type transformations to generate program variants for FPGA design space exploration. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Ajitesh Srivastava, Ren Chen, Viktor K. Prasanna, Charalampos Chelmis A hybrid design for high performance large-scale sorting on FPGA. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Henitsoa Rakotomalala, Xuan Thuy Ngo, Zakaria Najm, Jean-Luc Danger, Sylvain Guilley Private circuits II versus fault injection attacks. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Johanna Sepúlveda, Daniel Flórez, Guy Gogniat Efficient and flexible NoC-based group communication for secure MPSoCs. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Hongyuan Ding, Miaoqing Huang Achieving energy-efficiency on MPSoCs: performance and power optimizations. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Sven Hager, Daniel Bendyk, Björn Scheuermann 0001 Partial reconfiguration and specialized circuitry for flexible FPGA-based packet processing. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Maxime Lecomte, Jacques J. A. Fournier, Philippe Maurine Thoroughly analyzing the use of ring oscillators for on-chip hardware trojan detection. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Enrico A. Deiana, Marco Rabozzi, Riccardo Cattaneo, Marco D. Santambrogio A multiobjective reconfiguration-aware scheduler for FPGA-based heterogeneous architectures. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Da Tong, Viktor K. Prasanna High throughput sketch based online heavy change detection on FPGA. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Tiziana Fanni, Carlo Sau, Paolo Meloni, Luigi Raffo, Francesca Palumbo Power modelling for saving strategies in coarse grained reconfigurable systems. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Michael Hübner 0001, Maya B. Gokhale, René Cumplido (eds.) International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015 Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  BibTeX  RDF
1Vincent Mirian, Paul Chow UT-OCL: an OpenCL framework for embedded systems using xilinx FPGAs. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Alexander Boschmann, Andreas Agne, Linus Witschen, Georg Thombansen, Florian Kraus, Marco Platzner FPGA-based acceleration of high density myoelectric signal processing. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Joshua Mack, Sam Bellestri, Daniel Llamocca Floating point CORDIC-based architecture for powering computation. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Sang Woo Jun, Chanwoo Chung, Arvind Large-scale high-dimensional nearest neighbor search using flash memory with in-store processing. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Subhadeep Banik, Andrey Bogdanov, Francesco Regazzoni 0001 Exploring the energy consumption of lightweight blockciphers in FPGA. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Thaddeus Koehn, Matthew Carrick, Peter Athanas An efficient structure for run-time configuration of synthesis and channelizer filter banks. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Luis Contreras, Sérgio Cruz, José Maurício S. T. Motta, Carlos H. Llanos FPGA implementation of the EKF algorithm for localization in mobile robotics using a unified hardware module approach. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Ryan Pattison, Christian Fobel, Gary William Grewal, Shawki Areibi Scalable analytic placement for FPGA on GPGPU. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Javier Alejandro Varela, Christian Brugger, Christian de Schryver, Norbert Wehn, Songyin Tang, Steffen Omland Exploiting the brownian bridge technique to improve longstaff-schwartz american option pricing on FPGA systems. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Andrew Bean, Nachiket Kapre, Peter Y. K. Cheung G-DMA: improving memory access performance for hardware accelerated sparse graph computation. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Bruno A. Silva, Alexandre C. B. Delbem, Vanderlei Bonato, Pedro C. Diniz Runtime mapping and scheduling for energy efficiency in heterogeneous multi-core systems. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Ekawat Homsirikamol, William Diehl, Ahmed Ferozpuri, Farnoud Farahmand, Malik Umar Sharif, Kris Gaj A universal hardware API for authenticated ciphers. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Burak Erbagci, Mudit Bhargava, Rachel Dondero, Ken Mai Deeply hardware-entangled reconfigurable logic and interconnect. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Marc Reichenbach, Tobias Lieske, Steffen Vaas, Konrad Häublein, Dietmar Fey FAUPU - A design framework for the development of programmable image processing architectures. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Amit Kulkarni 0002, Kizheppatt Vipin, Dirk Stroobandt MiCAP: a custom reconfiguration controller for dynamic circuit specialization. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Koichiro Masuyama, Yu Fujita, Hayate Okuhara, Hideharu Amano A 297mops/0.4mw ultra low power coarse-grained reconfigurable accelerator CMA-SOTB-2. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1L. Canche Santos, Alejandro Castillo Atoche, J. Vazquez Castillo, Omar Longoria-Gandara, Roberto Carrasco-Alvarez, Jaime Ortegón-Aguilar An improved hardware design for matrix inverse based on systolic array QR decomposition and piecewise polynomial approximation. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Jose Fernando Zazo, Sergio López-Buedo, Yury Audzevich, Andrew W. Moore 0002 A PCIe DMA engine to support the virtualization of 40 Gbps FPGA-accelerated network appliances. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Mario Ruiz, Gustavo Sutter, Sergio López-Buedo, Javier Ramos 0002, Jorge E. López de Vergara, Javier Aracil 0001 Leveraging open source platforms and high-level synthesis for the design of FPGA-based 10 GbE active network probes. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Joost Hoozemans, Jens Johansen, Jeroen van Straten, Anthony Brandon, Stephan Wong Multiple contexts in a multi-ported VLIW register file implementation. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Shijie Zhou 0001, Charalampos Chelmis, Viktor K. Prasanna Optimizing memory performance for FPGA implementation of pagerank. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Christopher Blochwitz, Jan Moritz Joseph, Rico Backasch, Thilo Pionteck, Stefan Werner 0002, Dennis Heinrich, Sven Groppe An optimized radix-tree for hardware-accelerated dictionary generation for semantic web databases. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Anthony Brandon, Joost Hoozemans, Jeroen van Straten, Arthur Francisco Lorenzon, Anderson Luiz Sartor, Antonio Carlos Schneider Beck, Stephan Wong A sparse VLIW instruction encoding scheme compatible with generic binaries. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Riccardo Cattaneo, Gabriele Pallotta, Donatella Sciuto, Marco D. Santambrogio Explicitly isolating data and computation in high level synthesis: the role of polyhedral framework. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Amit Kulkarni 0002, Robin Bonamy, Dirk Stroobandt Power measurements and analysis for dynamic circuit specialization. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Andrew Powell 0002, Dennis Silage Statistical performance of the ARM cortex A9 accelerator coherency port in the xilinx zynq SoC for real-time applications. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Kevin Lee, Peter Athanas Shape exploration for modules in rapid assembly workflows. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Rico Backasch, Gerald Hempel, Christopher Blochwitz, Stefan Werner 0002, Sven Groppe, Thilo Pionteck An architectural template for composing application specific datapaths at runtime. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Maya B. Gokhale, Michael Hübner 0001, René Cumplido Message from chairs. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Hamza Bendaoudi, Qifeng Gan, Farida Cheriet, Houssem Ben Tahar, J. M. Pierre Langlois A run-length encoding co-processor for retinal image texture analysis. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Carlo Sau, Luca Fanni, Paolo Meloni, Luigi Raffo, Francesca Palumbo Reconfigurable coprocessors synthesis in the MPEG-RVC domain. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Sam Skalicky, Tejaswini Ananthanarayana, Sonia López, Marcin Lukowiak Designing customized ISA processors using high level synthesis. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Michal Varchola, Milos Drutarovský, Marek Repka, Pavol Zajac Side channel attack on multiprecision multiplier used in protected ECDSA implementation. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Viorel Suse, Dan Ionescu A real-time reconfigurable architecture for face detection. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Carl Ahlberg, Fredrik Ekstrand, Mikael Ekström, Giacomo Spampinato, Lars Asplund GIMME2 - an embedded system for stereo vision and processing of megapixel images with FPGA-acceleration. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Oskar Mencer Keynote 1 - From data to information to flow. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Giulia Gnemmi, Mattia Crippa, Gianluca Durelli, Riccardo Cattaneo, Gabriele Pallotta, Marco D. Santambrogio On how to efficiently accelerate brain network analysis on FPGA-based computing system. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Festus Hategekimana, Adil Tbatou, Christophe Bobda, Charles A. Kamhoua, Kevin A. Kwiat Hardware isolation technique for IRC-based botnets detection. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Roberto de Lima, José Martínez-Carranza, Alicia Morales-Reyes, René Cumplido Accelerating the construction of BRIEF descriptors using an FPGA-based architecture. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Hugo A. Andrade, Patricia Derler, John C. Eidson, Ya-Shian Li-Baboud, Aviral Shrivastava, Kevin B. Stanton, Marc Weiss Towards a reconfigurable distributed testbed to enable advanced research and development of timing and synchronization in cyber-physical systems. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Arif Irwansyah, Omar W. Ibraheem, Jens Hagemeyer, Mario Porrmann, Ulrich Rückert 0001 FPGA-based circular hough transform with graph clustering for vision-based multi-robot tracking. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Hiroki Nakahara, Hiroyuki Nakanishi, Kazumasa Iwai An AWF digital spectrometer for a radio telescope. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Brad White, Brent E. Nelson Tincr - A custom CAD tool framework for Vivado. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Pei Luo, Yunsi Fei, Xin Fang 0001, A. Adam Ding, Miriam Leeser, David R. Kaeli Power analysis attack on hardware implementation of MAC-Keccak on FPGAs. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jones Yudi Mori, Michael Hübner 0001 A high-level analysis of a multi-core vision processor using SystemC and TLM2.0. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Enrique Mariano Lizarraga, Graciela Corral-Briones A practical scheme for implementing dynamic spectral precoding in OFDM. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Thomas P. Flatley Keynote - SpaceCube - A family of reconfigurable hybrid on-board science data processors. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1David Uliana, Peter M. Athanas, Krzysztof Kepa FPGA-based accelerator development for non-engineers. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Ryo Konomura, Koichi Hori Phenox: Zynq 7000 based quadcopter robot. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Andrea Sanny, Yi-Hua E. Yang, Viktor K. Prasanna Energy-efficient histogram on FPGA. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Marco Tulio Ramírez-Torres, José S. Murguía Ibarra, Marcela Mejía-Carlos FPGA implementation of a reconfigurable image encryption system. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Vaibhav R. Gandhi, Yun Rock Qu, Viktor K. Prasanna High-throughput hash-based online traffic classification engines on FPGA. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Sam Skalicky, Sonia López, Marcin Lukowiak, Christopher A. Wood Mission control: A performance metric and analysis of control logic for pipelined architectures on FPGAs. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Markus Happe, Yujiao Huang, Ariane Keller Dynamic protocol stacks in smart camera networks. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Karim M. A. Ali, Rabie Ben Atitallah, Saïd Hanafi, Jean-Luc Dekeyser A generic pixel distribution architecture for parallel video processing. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Pei Luo, Yunsi Fei, Liwei Zhang, A. Adam Ding Side-channel power analysis of different protection schemes against fault attacks on AES. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Alexander Fell, Zoltán Endre Rákossy, Anupam Chattopadhyay Force-directed scheduling for Data Flow Graph mapping on Coarse-Grained Reconfigurable Architectures. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Johanna Sepúlveda, Guy Gogniat, Daniel Florez, Jean-Philippe Diguet, César Pedraza, Marius Strum 3D-LeukoNoC: A dynamic NoC protection. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Simon Schulz, Oliver Bringmann 0001, Thomas Schweizer, Wolfgang Rosenstiel Rotated parallel mapping: A novel approach for mapping data parallel applications on CGRAs. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Viet Vu Duy, Oliver Sander, Timo Sandmann, Steffen Bähr, Jan Heidelberger, Jürgen Becker 0001 Enabling partial reconfiguration for coprocessors in mixed criticality multicore systems using PCI express single-root I/O virtualization. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Kaveh Aasaraai, Andreas Moshovos What limits the operating frequency of a soft processor design. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Vladimir Rodriguez, José F. Martínez, Jesús Ariel Carrasco-Ochoa, Manuel Sabino Lazo-Cortés, René Cumplido, Claudia Feregrino Uribe A hardware architecture for filtering irreducible testors. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Rui Policarpo Duarte, Christos-Savvas Bouganis Zero-latency datapath error correction framework for over-clocking DSP applications on FPGAs. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jan Gray Keynote - The past and future of FPGA soft processors. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Quang-Hai Khuat, Daniel Chillet, Michael Hübner 0001 Dynamic run-time hardware/software scheduling for 3D reconfigurable SoC. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Benedikt Janßen, Michael Hübner 0001, Timo Jaeschke An AXI compatible cypress EZ-USB FX3 interface for USB-3.0 SuperSpeed. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jotham Vaddaboina Manoranjan, Kenneth S. Stevens An a-FPGA architecture for relative timing based asynchronous designs. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Benoit Chappet de Vangel, César Torres-Huitzil, Bernard Girau Spiking dynamic neural fields architectures on FPGA. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Amit Kulkarni 0002, Tom Davidson, Karel Heyse, Dirk Stroobandt Improving reconfiguration speed for dynamic circuit specialization using placement constraints. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 900 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license