The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "SBCCI"( http://dblp.L3S.de/Venues/SBCCI )

URL (DBLP): http://dblp.uni-trier.de/db/conf/sbcci

Publication years (Num. hits)
1998 (54) 1999-2000 (64) 2001 (38) 2002 (62) 2003 (59) 2004 (58) 2005 (51) 2006 (48) 2007 (73) 2008 (51) 2009 (55) 2010 (41) 2011 (43) 2012 (36) 2013 (43) 2014 (44) 2015 (44) 2016 (41) 2017 (37) 2018 (46) 2019 (35) 2020 (41) 2022 (48) 2023 (39)
Publication types (Num. hits)
inproceedings(1126) proceedings(25)
Venues (Conferences, Journals, ...)
SBCCI(1151)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 843 occurrences of 474 keywords

Results
Found 1151 publication records. Showing 1151 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Bruno Tarui, Fávero Guilherme Santos, Edson Leonardo dos Santos, Bernardo Leite, André Augusto Mariano Design of an RF Six-Mode CMOS Power Amplifier for Efficiency Improvement at Power Backoff. Search on Bibsonomy SBCCI The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Jean Carlo Hamerski, Geancarlo Abich, Ricardo Reis 0001, Luciano Ost, Alexandre M. Amory A Design Patterns-Based Middleware for Multiprocessor Systems-on-Chip. Search on Bibsonomy SBCCI The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Sergio A. Pertuz 0001, Carlos H. Llanos, Cesar A. Pena, Daniel M. Muñoz A Modular and Distributed Impedance Control Architecture on a Chip for a Robotic Hand. Search on Bibsonomy SBCCI The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Rafael O. Nunes, R. L. de Orio Operational Amplifier Performance Degradation and Time-to-Failure due to Electromigration. Search on Bibsonomy SBCCI The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Vinicius Fochi, Luciano L. Caimi, Marcelo H. da Silva, Fernando Gehm Moraes Fault-Tolerance at the Management Level in Many-Core Systems. Search on Bibsonomy SBCCI The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Jeferson Santos Barros, Victor Hugo Schulz, Djones Vinicius Lettnin An Adaptive Closed-Loop Verification Approach in UVM-SystemC for AMS Circuits. Search on Bibsonomy SBCCI The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Rodrigo Alves De Lima Moreto, Carlos Eduardo Thomaz, Salvador Pinillos Gimenez Automatic Optimization of Robust Analog CMOS ICs: An Interactive Genetic Algorithm Driven by Human Knowledge. Search on Bibsonomy SBCCI The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Ruan Evangelista Formigoni, Omar P. Vilela Neto, José Augusto Miranda Nacif BANCS: Bidirectional Alternating Nanomagnetic Clocking Scheme. Search on Bibsonomy SBCCI The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1German Fierro, Fernando Silveira Ultra Low Power Tunable Filter for a Low Phase Shift on Electrocardiogram QRS-Complex Acquisition. Search on Bibsonomy SBCCI The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Felipe T. Bortolon, Fernando Gehm Moraes Hardware and software infrastructure to implement many-core systems in modern FPGAs. Search on Bibsonomy SBCCI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Lucas F. S. Cambuim, João Paulo Fernandes Barbosa, Edna Natividade da Silva Barros Hardware module for low-resource and real-time stereo vision engine using semi-global matching approach. Search on Bibsonomy SBCCI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Camila de Matos Alonso, Fábio Luís Livi Ramos, Bruno Zatt, Marcelo Schiavon Porto, Sergio Bampi Low-power HEVC binarizer architecture for the CABAC block targeting UHD video processing. Search on Bibsonomy SBCCI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Johanna Sepúlveda, Ramon Fernandes, César A. M. Marcon, Daniel Florez, Georg Sigl A security-aware routing implementation for dynamic data protection in zone-based MPSoC. Search on Bibsonomy SBCCI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Antonio C. C. Telles, Jose Antenor Pomilio, Saulo Finco Modeling of a MOS ultralow voltage oscillator: experimental results. Search on Bibsonomy SBCCI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Tiago Augusto Fontana, Sheiny Almeida, Renan Netto, Vinicius S. Livramento, Chrystian Guth, Laércio Lima Pilla, José Luís Güntzel Exploiting cache locality to speedup register clustering. Search on Bibsonomy SBCCI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Pablo Nunes Agra Belmonte, L. M. Chaves, Davies William de Lima Monteiro A pixel concept that simultaneously enables high dynamic range, high sensitivity and operation in intense backgrounds. Search on Bibsonomy SBCCI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Nelson Andrade, Pedro Toledo, Gabriel Teofilo Neves Guimaraes, Hamilton Klimach, Helga Dornelas, Sergio Bampi Low power IEEE 802.11ah receiver system-level design aiming for IoT applications. Search on Bibsonomy SBCCI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Adriano V. Fonseca, Rachid El Khattabi, William A. Afshari, Fernando A. P. Barúqui, Carlos Fernando Teodósio Soares, Pietro Maris Ferreira A temperature-aware analysis of latched comparators for smart vehicle applications. Search on Bibsonomy SBCCI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1André Beims Bräscher, Ismael Seidel, José Luís Güntzel Improving the energy efficiency of a low-area SATD hardware architecture using fine grain PDE. Search on Bibsonomy SBCCI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Felipe Makara, Lucas Mangini, André Augusto Mariano A 34fJ/conversion-step 10-bit 6.66MS/s SAR ADC with built-in digital calibration in 130nm CMOS. Search on Bibsonomy SBCCI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Alex Borges, Luciano A. Braatz, Bruno Zatt, Marcelo Schiavon Porto, Guilherme Corrêa 0001 Segmented spline hardware design for high dynamic range video pre-processor. Search on Bibsonomy SBCCI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Jarbas A. N. Silveira (eds.) Proceedings of the 30th Symposium on Integrated Circuits and Systems Design: Chip on the Sands, SBCCI 2017, Fortaleza, Ceará, Brazil, August 28 - September 01, 2017 Search on Bibsonomy SBCCI The full citation details ... 2017 DBLP  BibTeX  RDF
1Ricardo A. Guazzelli, Matheus T. Moreira, Walter Lau Neto, Ney Laert Vilar Calazans Sleep convention logic isochronic fork: an analysis. Search on Bibsonomy SBCCI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Hatem Ghaleb, Guido Belfiore, Corrado Carta, Frank Ellinger A SiGe HBT limiting amplifier for fast switching of mm-wave super-regenerative oscillators. Search on Bibsonomy SBCCI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Ronaldo T. P. Milfont, Rafael Goncalves Mota, João Marcelo Ferreira, Paulo César Cortez, César A. M. Marcon, Daniel A. B. Tavares, Jarbas A. N. Silveira Latency reduction of fault-tolerant NoCs by employing multiple paths. Search on Bibsonomy SBCCI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Carlos Eduardo dos Santos, Leandro dos Santos Coelho, Renato Coral Sampaio, Ricardo P. Jacobi, Helon V. H. Ayala, Carlos H. Llanos A SVM optimization tool and FPGA system architecture applied to NMPC. Search on Bibsonomy SBCCI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Jens Spinner, Jürgen Freudenberger A decoder with soft decoding capability for high-rate generalized concatenated codes with applications in non-volatile flash memories. Search on Bibsonomy SBCCI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Gustavo Sanchez, Filipo Mór, Luciano Volcan Agostini, César A. M. Marcon Low-area scalable hardware architecture for DMM-1 encoder of 3D-HEVC video coding standard. Search on Bibsonomy SBCCI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Luciano L. Caimi, Vinicius Fochi, Eduardo Wächter, Daniel Munhoz, Fernando Gehm Moraes Secure admission and execution of applications in many-core systems. Search on Bibsonomy SBCCI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Victor Vaillant, François Rivet An analog RF fully differential common mode controlled delay line in 28nm FDSOI technology. Search on Bibsonomy SBCCI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Tony Hanna, Nathalie Deltimple, Sébastien Fregonese A class-J power amplifier for 5G applications in 28nm CMOS FD-SOI technology. Search on Bibsonomy SBCCI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Felipe G. A. e Silva, Otávio A. de Lima, Walter da C. Freitas, Fabian Vargas 0001, Jarbas Silveira, César A. M. Marcon An efficient, low-cost ECC approach for critical-application memories. Search on Bibsonomy SBCCI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Amanda F. Fonseca, Douglas L. Willian, Thiago Rodrigues B. S. Soares, Luiz G. C. Melo, Omar P. Vilela Neto CAM/TCAM - NML: (ternary) content addressable memory implemented with nanomagnetic logic. Search on Bibsonomy SBCCI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1M. M. Rocha, Antonio C. C. Telles, Ricardo Cotrin Teixeira Development of microtransformers using MCM and electronic packaging technologies. Search on Bibsonomy SBCCI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Oscar Anacona-Mosquera, George Teodoro, Gustavo Vinhal, Ricardo P. Jacobi, Renato Coral Sampaio, Carlos H. Llanos Efficient hardware implementation of morphological reconstruction based on sequential reconstruction algorithm. Search on Bibsonomy SBCCI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Paolo Valerio Testa, Manu Viswambharan Thayyil, Guido Belfiore, Corrado Carta, Frank Ellinger High-impedance multi-conductor transmission-lines for integrated applications at millimeter-wave frequency. Search on Bibsonomy SBCCI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Luana Vieira Martinez Bonatto, Fábio Luís Livi Ramos, Bruno Zatt, Marcelo Schiavon Porto, Sergio Bampi Low-power multi-size HEVC DCT architecture proposal for QFHD video processing. Search on Bibsonomy SBCCI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Andrei Silva, Frank Sill Mitigation of aging effects through selective time-borrowing and alternative path activation. Search on Bibsonomy SBCCI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Luiz Henrique Cancellier, Ismael Seidel, José Luís Güntzel Block matching hardware architecture for SATD-based successive elimination. Search on Bibsonomy SBCCI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Francelino Freitas Carvalho, Alexandre Kennedy Pinto Souza, Carlos Augusto de Moraes Cruz A novel hybrid polarization-quadrature pixel cluster for local light angle and intensity detection. Search on Bibsonomy SBCCI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Guilherme Paim, Rafael S. Ferreira, Leandro M. G. Rocha, Eduardo A. C. da Costa, Tiago Giacomelli Alves, Sergio Bampi A power-predictive environment for fast and power-aware ASIC-based FIR filter design. Search on Bibsonomy SBCCI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Felipe T. Bortolon, Fernando Gehm Moraes, Matheus T. Moreira, Sergio Bampi Estimation methods for static noise margins in CMOS subthreshold logic circuits. Search on Bibsonomy SBCCI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Ádria Barros de Oliveira, Gennaro Severino Rodrigues, Fernanda Lima Kastensmidt Analyzing lockstep dual-core ARM cortex-A9 soft error mitigation in freeRTOS applications. Search on Bibsonomy SBCCI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Fábio Luís Livi Ramos, Bruno Zatt, Marcelo Schiavon Porto, Sergio Bampi Novel multiple bypass bins scheme for low-power UHD video processing HEVC binary arithmetic encoder architecture. Search on Bibsonomy SBCCI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Julien Orlando, Francois Rivet, Yann Deval A radio-frequency real-time spectrum sensor based on an analog signal processing magnitude calculator. Search on Bibsonomy SBCCI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Luiz Henrique Borges Sardinha, Omar Paranaiba Vilela Neto, Vitor Buxbaum Orlandi, Sérgio Vale Aguiar Campos Simplified model for automatic QCA circuitry verification. Search on Bibsonomy SBCCI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Antonyus Pyetro do Amaral Ferreira, Joao G. M. Silva, Jefferson R. L. Anjos, Luiz H. A. Figueiroa, Edna Natividade da Silva Barros, Manoel Eusébio de Lima, Victor Wanderley Costa de Medeiros A hardware accelerator for the alignment of multiple DNA sequences. Search on Bibsonomy SBCCI The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Francois Rivet, Elina Fiawoo, Richard Montigny, Patrick Garrec, Yann Deval An ultra wide band analog-to-digital converter based on a Delta-Riemann architecture. Search on Bibsonomy SBCCI The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Rafael B. Schivittz, Rafael Fritz, Denis Teixeira Franco, Lirida A. B. Naviner, Cristina Meinhardt, Paulo F. Butzen Inserting permanent fault input dependence on PTM to improve robustness evaluation. Search on Bibsonomy SBCCI The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Cezar Reinbrecht, Altamiro Amadeu Susin, Lilian Bossuet, Georg Sigl, Martha Johanna Sepúlveda Side channel attack on NoC-based MPSoCs are practical: NoC Prime+Probe attack. Search on Bibsonomy SBCCI The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Erika S. Albuquerque, Antonyus Pyetro do Amaral Ferreira, Joao G. M. Silva, João Paulo Fernandes Barbosa, Renato L. M. Carlos, Djeefther S. Albuquerque, Edna Natividade da Silva Barros An FPGA-based accelerator for multiple real-time template matching. Search on Bibsonomy SBCCI The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Arturo Fajardo Jaimes, Fernando Rangel de Sousa Modeling and design of high-efficiency power amplifiers fed by limited power sources. Search on Bibsonomy SBCCI The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Oscar Anacona-Mosquera, Janier Arias-Garcia, Daniel M. Muñoz Arboleda, Carlos H. Llanos Efficient hardware implementation of the Richardson-Lucy Algorithm for restoring motion-blurred image on reconfigurable digital system. Search on Bibsonomy SBCCI The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1E. Petitprez, Dalton M. Colombo, Felipe M. Henes, Laurent Courcelle, R. Tararam, S. Jacobsen, R. Soares 0002, C. Krug, Marcelo Lubaszewski Successful prototyping of complex integrated circuits with focused ion beam. Search on Bibsonomy SBCCI The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Eduardo Lussari, Duarte Lopes de Oliveira, Lester de Abreu Faria, Orlando Verducci Jr. Software-Defined Radio design based on GALS architecture for FPGAs. Search on Bibsonomy SBCCI The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Ramon Fernandes, César A. M. Marcon, Rodrigo Cataldo, Jarbas Silveira, Georg Sigl, Martha Johanna Sepúlveda A security aware routing approach for NoC-based MPSoCs. Search on Bibsonomy SBCCI The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Hiroyuki Yamauchi, Worawit Somha A mutual rectification-interference avoidance technique with cascade filters for both downward-direction tailed-RDF deconvolution. Search on Bibsonomy SBCCI The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Fabian Olivera, Antonio Petraglia Analytic boundaries for 6T-SRAM design in standby mode. Search on Bibsonomy SBCCI The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1David Cordova, Arthur Campos de Oliveira, Pedro Toledo, Hamilton Klimach, Sergio Bampi, Eric E. Fabris A 0.3 V, high-PSRR, picowatt NMOS-only voltage reference using zero-VT active loads. Search on Bibsonomy SBCCI The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Fernanda D. V. R. Oliveira, Tiago M. de F. Lopes, José Gabriel Rodríguez Carneiro Gomes, Fernando Antonio Pinto Barúqui, Antonio Petraglia Focal-plane image encoder with cascode current mirrors and increased vector quantization bit rate. Search on Bibsonomy SBCCI The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Arturo Fajardo Jaimes, Fernando Rangel de Sousa Integrated CMOS class-E power amplifier for self-sustaining wireless power transfer system. Search on Bibsonomy SBCCI The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Mateus S. Oliveira, Paulo César Comassetto de Aguirre, Lucas C. Severo, Alessandro Girardi, Altamiro Amadeu Susin A digitally tunable 4th-order Gm-C low-pass filter for multi-standards receivers. Search on Bibsonomy SBCCI The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Felipe Gohring de Magalhaes, Fabiano Hessel, Odile Liboiron-Ladouceur, Gabriela Nicolescu Cluster-based architecture relying on Optical Integrated Networks with the provision of a low-latency arbiter. Search on Bibsonomy SBCCI The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Mateus Melo, Gustavo H. Smaniotto, Henrique Maich, Luciano Volcan Agostini, Bruno Zatt, Leomar Rosa, Marcelo Schiavon Porto A parallel Motion Estimation solution for heterogeneous System on Chip. Search on Bibsonomy SBCCI The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Pierre Bisiaux, Caroline Lelandais-Perrault, Anthony Kolar, Philippe Bénabès, Filipe Vinci dos Santos A new two-step ΣΔ architecture column-parallel ADC for CMOS image sensor. Search on Bibsonomy SBCCI The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Jean Simatic, Abdelkarim Cherkaoui, Rodrigo Possamai Bastos, Laurent Fesquet New asynchronous protocols for enhancing area and throughput in bundled-data pipelines. Search on Bibsonomy SBCCI The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Pedro Toledo, Rene Timbo, David Cordova, Hamilton Klimach, Sergio Bampi, Eric E. Fabris A 0.7V Fully Differential First Order GZTC-C filter. Search on Bibsonomy SBCCI The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Arthur Liraneto Torres Costa, Hamilton Klimach, Sergio Bampi A 450 mV supply self-biased wideband inductorless balun LNA for sub-GHz applications. Search on Bibsonomy SBCCI The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Frederique Simbelie, Sylvain Laurent, Pierre Medrel, Michel Prigent, Raymond Quéré, Myrianne Regis, Yann Creveuil Characterization and nonlinear modeling of MASMOS® transistor in order to design power amplifiers for LTE applications. Search on Bibsonomy SBCCI The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Alyson Trindade, Ricardo S. Ferreira 0001, José Augusto Miranda Nacif, Douglas Sales, Omar P. Vilela Neto A Placement and routing algorithm for Quantum-dot Cellular Automata. Search on Bibsonomy SBCCI The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Wolfgang Schreiber-Prillwitz, Reinhart Job Development process for MEMS pressure sensors for standarized CMOS read-out circuitry. Search on Bibsonomy SBCCI The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Gustavo Sanchez, Luciano Volcan Agostini, César A. M. Marcon Energy-aware light-weight DMM-1 patterns decoders with efficiently storage in 3D-HEVC. Search on Bibsonomy SBCCI The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1André F. Ponchet, Ezio M. Bastida, Celio Finardi, Roberto R. Panepucci, Stefan Tenenbaum, Saulo Finco, Jacobus W. Swart A design methodology for low-noise CMOS transimpedance amplifiers based on shunt-shunt feedback topology. Search on Bibsonomy SBCCI The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Rodrigo Cataldo, Guilherme Korol, Ramon Fernandes, Debora Matos, César A. M. Marcon Architectural exploration of Last-Level Caches targeting homogeneous multicore systems. Search on Bibsonomy SBCCI The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Felipe Todeschini Bortolon, Sergio Johann Filho, Matheus Gibiluka, Sergio Bampi, Ney Laert Vilar Calazans, Fabiano Passuelo Hessel, Matheus Trevisan Moreira Design and analysis of the HF-RISC processor targeting voltage scaling applications. Search on Bibsonomy SBCCI The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Yann Deval, Francois Rivet A balanced logic routing block for Factorial-DLL based Frequency Generation. Search on Bibsonomy SBCCI The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Matheus Gibiluka, Matheus Trevisan Moreira, Walter Lau Neto, Ney Laert Vilar Calazans A standard cell characterization flow for non-standard voltage supplies. Search on Bibsonomy SBCCI The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Fábio Luís Livi Ramos, Jones Goebel, Bruno Zatt, Marcelo Schiavon Porto, Sergio Bampi Low-power hardware design for the HEVC Binary Arithmetic Encoder targeting 8K videos. Search on Bibsonomy SBCCI The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Guilherme M. Castilhos, Fernando Gehm Moraes, Luciano Ost A lightweight software-based runtime temperature monitoring model for multiprocessor embedded systems. Search on Bibsonomy SBCCI The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Raphael Martins Brum, Gilson I. Wirth MagPDK: An open-source process design kit for circuit design with magnetic tunnel junctions. Search on Bibsonomy SBCCI The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Renan Netto, Vinicius S. Livramento, Chrystian Guth, Luiz C. V. dos Santos, José Luís Güntzel Evaluating the impact of circuit legalization on incremental optimization techniques. Search on Bibsonomy SBCCI The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Leonardo Bandeira Soares, Cláudio Machado Diniz, Eduardo Antonio Cesar da Costa, Sergio Bampi A novel pruned-based algorithm for energy-efficient SATD operation in the HEVC coding. Search on Bibsonomy SBCCI The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Mario Vinicius Guimaraes, Frank Sill Torres Automatic layout integration of Bulk Built-In Current Sensors for detection of soft errors. Search on Bibsonomy SBCCI The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1 29th Symposium on Integrated Circuits and Systems Design, SBCCI 2016, Belo Horizonte, Brazil, August 29 - September 3, 2016 Search on Bibsonomy SBCCI The full citation details ... 2016 DBLP  BibTeX  RDF
1Felipe Piovezan, Tarcisio E. M. Crocomo, Luiz C. V. dos Santos Cache sizing for low-energy Elliptic Curve Cryptography. Search on Bibsonomy SBCCI The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Ademir Marques Jr., Alexandro Baldassin Energy-aware scheduling in transactional memory systems. Search on Bibsonomy SBCCI The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Andres Amaya, Héctor Gómez, Elkim Roa A digital offset correction method for high speed analog front-ends. Search on Bibsonomy SBCCI The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Nico Hellwege, Nils Heidmann, Steffen Paul, Dagmar Peters-Drolshagen Optimum Operating Points of Transistors with minimal Aging-Aware Sensitivity. Search on Bibsonomy SBCCI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Tiago S. Curtinhas, Tassio Cortes Cavalcante, Duarte Lopes de Oliveira, Lester de Abreu Faria, Osamu Saotome Minimization and Encoding of High Performance Asynchronous State Machines Based on Genetic Algorithm. Search on Bibsonomy SBCCI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Helder F. de A. Oliveira, Alisson Vasconcelos de Brito, Elmar U. K. Melcher, Harald Bucher, Joseana M. F. R. Araújo, Liana Dessandre Duenha Power-Aware Design of Electronic System Level using Interoperation of Hybrid and Distributed Simulations. Search on Bibsonomy SBCCI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Raphael Andreoni Camponogara Viera, Jorge V. de la Cruz, André Luiz Aita, César Augusto Prior, João Baptista dos Santos Martins System-level Design of Single-bit Sigma-Delta Modulators Based on MSA and SNR Data Graphics. Search on Bibsonomy SBCCI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Jhon A. Gomez C., Hamilton Klimach, Eric E. Fabris, Oscar E. Mattia High PSRR Nano-Watt MOS-Only Threshold Voltage Monitor Circuit. Search on Bibsonomy SBCCI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Ewerton Gomes de Oliveira, Carlos Augusto de Moraes Cruz, Davies William de Lima Monteiro Effective Cross Comparison of Mismatch Effects on Different Logarithmic Pixel Sensor Topologies. Search on Bibsonomy SBCCI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Marcel Moscarelli Corrêa, Marcelo Schiavon Porto, Bruno Zatt, Luciano Volcan Agostini A Low-Area and High-Throughput Intra Prediction Architecture for a Multi-Standard HEVC and H.264/AVC Video Encoder. Search on Bibsonomy SBCCI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Bruno A. Silva, Lucas Albers Cuminato, Vanderlei Bonato, Pedro C. Diniz Run-time Cache Configuration for the LEON-3 Embedded Processor. Search on Bibsonomy SBCCI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Jader A. De Lima, Wallace A. Pimenta A Current Limiter for Linear Regulators Based on Power-Dissipation Threshold. Search on Bibsonomy SBCCI The full citation details ... 2015 DBLP  BibTeX  RDF
1Filipe D. Baumgratz, Hao Li 0003, Sergio Bampi, Carlos E. Saavedra Wideband Low Noise Variable Gain Amplifier. Search on Bibsonomy SBCCI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Lucas Teixeira, Cesar Ramos Rodrigues, César Augusto Prior Direct Feedback Topology for Reducing Residual Voltage in Functional Electrical Stimulation. Search on Bibsonomy SBCCI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Cezar R. W. Reinbrecht, Martha Johanna Sepúlveda, Altamiro Amadeu Susin PHiCIT: Improving Hierarchical Networks-on-chip through 3D Silicon Photonics Integration. Search on Bibsonomy SBCCI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Bernardo Leite, Eric Kerhervé, Didier Belot Design of 28 nm CMOS integrated transformers for a 60 GHz power amplifier. Search on Bibsonomy SBCCI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 1151 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license