The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "VTS"( http://dblp.L3S.de/Venues/VTS )

URL (DBLP): http://dblp.uni-trier.de/db/conf/vts

Publication years (Num. hits)
1991 (53) 1992 (60) 1993 (62) 1994 (70) 1995 (70) 1996 (81) 1997 (70) 1998 (70) 1999 (65) 2000 (66) 2001 (67) 2002 (74) 2003 (58) 2004 (52) 2005 (69) 2006 (87) 2007 (60) 2008 (55) 2009 (59) 2010 (82) 2011 (65) 2012 (50) 2013 (69) 2014 (67) 2015 (63) 2016 (59) 2017 (50) 2018 (50) 2019 (56) 2020 (44) 2021 (39) 2022 (48) 2023 (43)
Publication types (Num. hits)
inproceedings(2000) proceedings(33)
Venues (Conferences, Journals, ...)
VTS(2033)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1928 occurrences of 678 keywords

Results
Found 2033 publication records. Showing 2033 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Yunjie Zhang, Liwei Zhou, Yiorgos Makris Hardware-based Real-time Workload Forensics via Frame-level TLB Profiling. Search on Bibsonomy VTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Saurabh Gupta 0005, Bonita Bhaskaran, Shantanu Sarangi, Ayub Abdollahian, Jennifer Dworak A Novel Graph Coloring Based Solution for Low-Power Scan Shift. Search on Bibsonomy VTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Ghislain Takam Tchendjou, Emmanuel Simeu Defective Pixel Analysis for Image Sensor Online Diagnostic and Self-Healing. Search on Bibsonomy VTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Pavan Kumar Datla Jagannadha, Mahmut Yilmaz, Milind Sonawane, Sailendra Chadalavada, Shantanu Sarangi, Bonita Bhaskaran, Shashank Bajpai, Venkat Abilash Reddy Nerallapally, Jayesh Pandey, Sam Jiang Special Session: In-System-Test (IST) Architecture for NVIDIA Drive-AGX Platforms. Search on Bibsonomy VTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Iris Ma, Hui King Lau, Joseph A. Reynick, Yu Huang 0005 Innovative Practices on DFT for AI Chips. Search on Bibsonomy VTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Manoj Niraula, Vipul Patel, Prakash Gothoskar, Attila Mekis, Gary Evans, Xuezhe Zheng Special Session: Photonic IC Testing - Challenges and Opportunities. Search on Bibsonomy VTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Ankit Shah, Raman Nayyar, Arani Sinha Silicon Proven Timing Signoff Methodology using Hazard-Free Robust Path Delay Tests. Search on Bibsonomy VTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Jubayer Mahmod, Spencer K. Millican, Ujjwal Guin, Vishwani D. Agrawal Special Session: Delay Fault Testing - Present and Future. Search on Bibsonomy VTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Abhishek Das, Nur A. Touba Layered-ECC: A Class of Double Error Correcting Codes for High Density Memory Systems. Search on Bibsonomy VTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Soowang Park, Sandeep K. Gupta 0001 Cache Design for Yield-per-Area Maximization: Switchable Spare Columns with Disabling (SSC-Disable). Search on Bibsonomy VTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Max M. Shulaker, Laurent Lebrun, Bozena Kaminska, Bernard Courtois Special Session (New Topic): Emerging Computing and Testing Techniques. Search on Bibsonomy VTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Wen Li, Ying Wang 0001, Huawei Li 0001, Xiaowei Li 0001 Leveraging Memory PUFs and PIM-based encryption to secure edge deep learning systems. Search on Bibsonomy VTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Leon Li, Alex Orailoglu Shielding Logic Locking from Redundancy Attacks. Search on Bibsonomy VTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1R. Iris Bahar, Ulya R. Karpuzcu, Sasa Misailovic Special Session: Does Approximation Make Testing Harder (or Easier)? Search on Bibsonomy VTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Abhishek Das, Nur A. Touba A Graph Theory Approach towards IJTAG Security via Controlled Scan Chain Isolation. Search on Bibsonomy VTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Barry John Muldrey, Suvadeep Banerjee, Abhijit Chatterjee Mixed Signal Design Validation Using Reinforcement Learning Guided Stimulus Generation for Behavior Discovery. Search on Bibsonomy VTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1S. Bandyopadhyay, J. Mekkoth, Marc Hutner, Hayk T. Grigoryan, Arun Kumar, Samvel K. Shoukourian, Grigor Tshagharyan, Yervant Zorian, Gabriele Boschi, Duccio Lazzarotti, Donato Luongo, Hanna Shaheen, Gurgen Harutyunyan Innovative Practices on In-System Test and Reliability of Memories. Search on Bibsonomy VTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Abhishek Koneru, Aida Todri-Sanial, Krishnendu Chakrabarty Reliable Power Delivery and Analysis of Power-Supply Noise During Testing in Monolithic 3D ICs. Search on Bibsonomy VTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Tai Song, Huaguo Liang, Ying Sun, Zhengfeng Huang, Maoxiang Yi, Xiangsheng Fang, Aibin Yan Novel Application of Deep Learning for Adaptive Testing Based on Long Short-Term Memory. Search on Bibsonomy VTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Malav Shah, Subhadeep Ghosh, Scott Martin Special Session: A Quality and Reliability Driven DFT and DFR Strategy for Automotive and Industrial Markets. Search on Bibsonomy VTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Jianwei Zhang, Sandeep K. Gupta 0001, William G. J. Halfond A New Method for Software Test Data Generation Inspired by D-algorithm. Search on Bibsonomy VTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Abdulrahman Alaql, Tamzidul Hoque, Domenic Forte, Swarup Bhunia Quality Obfuscation for Error-Tolerant and Adaptive Hardware IP Protection. Search on Bibsonomy VTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Liting Yu, Xiaoxiao Wang 0001 ZeroScreen: A Novel Structure for IC Reliability Screening at Time-Zero. Search on Bibsonomy VTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Wu-Tung Cheng, Grzegorz Mrugalski, Janusz Rajski, Maciej Trawka, Jerzy Tyszer On Cyclic Scan Integrity Tests for EDT-based Compression. Search on Bibsonomy VTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Ben Niewenhuis, Balaji Ravikumar, Zeye Liu 0001, R. D. Shawn Blanton Path Delay Test of the Carnegie Mellon Logic Characterization Vehicle. Search on Bibsonomy VTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Jeff Rearick, Alfred L. Crouch, Hans Martin von Staudt Innovative Practices on IEEE 1687.xyz. Search on Bibsonomy VTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Chenlei Fang, Qicheng Huang, Soumya Mittal, R. D. Shawn Blanton Diagnosis Outcome Preview through Learning. Search on Bibsonomy VTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Matthew McGuire, Ümit Y. Ogras, Sule Ozev PCB Hardware Trojans: Attack Modes and Detection Strategies. Search on Bibsonomy VTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Hans Martin von Staudt, Amit Majumdar 0002, Bill Taylor, Jennifer Kitchen Innovative Design for Test in State-of-the-Art Analog Systems. Search on Bibsonomy VTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Aijiao Cui, Yan Yang, Gang Qu 0001, Huawei Li 0001 A Secure and Low-overhead Active IC Metering Scheme. Search on Bibsonomy VTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Anandh Krishnan, John van Gelder, Mayukh Bhattacharya, Sreejit Chakravarty, Prashant Goteti Innovative practices on functional testing and fault simulation for FuSa. Search on Bibsonomy VTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Jing Ye 0001, Qingli Guo, Yu Hu 0001, Huawei Li 0001, Xiaowei Li 0001 Modeling attacks on strong physical unclonable functions strengthened by random number and weak PUF. Search on Bibsonomy VTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Sohrab Aftabjahani, Jason Oberg, Michael Chen, Huawei Li 0001 Innovative practices on challenges, opportunities, and solutions to hardware security. Search on Bibsonomy VTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Roy Meade, Woosung Kim, Richard Otte, Eugene R. Atwood Innovative practices on silicon photonics. Search on Bibsonomy VTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Abhrajit Sengupta, Mohammed Thari Nabeel, Muhammad Yasin, Ozgur Sinanoglu ATPG-based cost-effective, secure logic locking. Search on Bibsonomy VTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Terrence S. T. Mak, Hiroki Matsutani, Partha Pratim Pande Special session on bringing cores closer together: The wireless revolution in on-chip communication. Search on Bibsonomy VTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Abhishek Das, Nur A. Touba Systematic b-adjacent symbol error correcting reed-solomon codes with parallel decoding. Search on Bibsonomy VTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Maryam Shafiee, Jennifer N. Kitchen, Sule Ozev A built-in self-test technique for transmitter-only systems. Search on Bibsonomy VTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Hans-Mart von Staudt, James Izon, Sule Ozev, Peter Sarson Special session on BIST/calibration of A/MS devices. Search on Bibsonomy VTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Kareem Madkour, Zhaobo Zhang, Alfred L. Crouch, Peter L. Levin, Eve Hunter, Yu Huang 0005 Innovative practices on machine learning for emerging applications. Search on Bibsonomy VTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Felix Neubauer, Jan Burchard, Pascal Raiola, Jochen Rivoir, Bernd Becker 0001, Matthias Sauer 0002 Efficient generation of parametric test conditions for AMS chips with an interval constraint solver. Search on Bibsonomy VTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Yingdi Liu, Janusz Rajski, Sudhakar M. Reddy, Jedrzej Solecki, Jerzy Tyszer Staggered ATPG with capture-per-cycle observation test points. Search on Bibsonomy VTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Michael S. Hsiao, Sarmad Tanwir Fast fault coverage estimation of sequential tests using entropy measurements. Search on Bibsonomy VTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Alessandro Vallero, Sotiris Tselonis, Dimitris Gizopoulos, Stefano Di Carlo Multi-faceted microarchitecture level reliability characterization for NVIDIA and AMD GPUs. Search on Bibsonomy VTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Jeff Jun Zhang, Tianyu Gu, Kanad Basu, Siddharth Garg Analyzing and mitigating the impact of permanent faults on a systolic array based neural network accelerator. Search on Bibsonomy VTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Tsung-Ching Jim Huang, Jason Marsh, Scott H. Goodwin, Dorota S. Temple Innovative practices on design & test for flexible hybrid electronics. Search on Bibsonomy VTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Koji Asami, Yoshiro Tamura, Haruo Kobayashi 0001, Jun Matsushima, Yoichi Maeda, Kazumi Hatayama Innovative practices on test in Japan. Search on Bibsonomy VTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Lukás Sekanina, Zdenek Vasícek, Alberto Bosio, Marcello Traiola, Paolo Rech, Daniel Oliveira 0002, Fernando Fernandes 0001, Stefano Di Carlo Special session: How approximate computing impacts verification, test and reliability. Search on Bibsonomy VTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Abhishek Koneru, Krishnendu Chakrabarty An inter-layer interconnect BIST solution for monolithic 3D ICs. Search on Bibsonomy VTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Art Schaldenbrand, Yervant Zorian, Stephen Sunter, Peter Sarson IP session on ISO26262 EDA. Search on Bibsonomy VTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Tamzidul Hoque, Xinmu Wang, Abhishek Basak, Robert Karam, Swarup Bhunia Hardware Trojan attacks in embedded memory. Search on Bibsonomy VTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Carlo Reita, Jonathan Baugh, Gabriel Poulin-Lamarre, Bozena Kaminska, Bernard Courtois Special session on quantum systems: Next challenges in design, test, integration. Search on Bibsonomy VTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Yanjun Li, Ender Yilmaz, Peter Sarson, Sule Ozev Online information utility assessment for per-device adaptive test flow. Search on Bibsonomy VTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Omar Al-Terkawi Hasib, Daniel Crepeau, Thomas Awad, Andrei Dulipovici, Yvon Savaria, Claude Thibeault Exploiting built-in delay lines for applying launch-on-capture at-speed testing on self-timed circuits. Search on Bibsonomy VTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Rana Elnaggar, Ramesh Karri, Krishnendu Chakrabarty Securing IJTAG against data-integrity attacks. Search on Bibsonomy VTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Shimeng Yu, Chenchen Liu, Wujie Wen, Yiran Chen 0001 Special session on reliability and vulnerability of neuromorphic computing systems. Search on Bibsonomy VTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Qutaiba Khasawneh, Jennifer Dworak, Ping Gui, Benjamin Williams, Alan C. Elliott, Anand Muthaiah Real-time monitoring of test fallout data to quickly identify tester and yield issues in a multi-site environment. Search on Bibsonomy VTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Krishnendu Chakrabarty, Li-C. Wang, Gaurav Veda, Yu Huang 0005 Special session on machine learning for test and diagnosis. Search on Bibsonomy VTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Saurabh Gupta 0005, Jae Wu, Jennifer Dworak Efficient parallel testing: A configurable and scalable broadcast network design using IJTAG. Search on Bibsonomy VTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Sascha Heinssen, Theodor Hillebrand, Maike Taddiken, Steffen Paul, Dagmar Peters-Drolshagen On-line monitoring and error correction in sensor interface circuits using digital calibration techniques. Search on Bibsonomy VTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1 36th IEEE VLSI Test Symposium, VTS 2018, San Francisco, CA, USA, April 22-25, 2018 Search on Bibsonomy VTS The full citation details ... 2018 DBLP  BibTeX  RDF
1Mohammad Nasim Imtiaz Khan, Swaroop Ghosh Test challenges and solutions for emerging non-volatile memories. Search on Bibsonomy VTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Ziqi Zhou, Ujjwal Guin, Vishwani D. Agrawal Modeling and test generation for combinational hardware Trojans. Search on Bibsonomy VTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Shih-Yao Lin 0001, Yen-Chun Fang, Yu-Ching Li, Yu-Cheng Liu, Tsung-Shan Yang, Shang-Chien Lin, Chien-Mo James Li, Eric Jia-Wei Fang IR drop prediction of ECO-revised circuits using machine learning. Search on Bibsonomy VTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Peter Sarson, Tomonori Yanagida, Kosuke Machida Group delay measurement of frequency down-converter devices using chirped RF modulated signal. Search on Bibsonomy VTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Marc Margalef-Rovira, Manuel J. Barragán, Ekta Sharma, Philippe Ferrari, Emmanuel Pistono, Sylvain Bourdel An oscillation-based test technique for on-chip testing of mm-wave phase shifters. Search on Bibsonomy VTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1M. Casarsa, Gurgen Harutyunyan, Kaitlyn Chen, Ramesh Sharma, Giri Podichetty, Martin Keim, Sreejit Chakravarty, Ramesh C. Tekumalla Innovative practices on memory test practice. Search on Bibsonomy VTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Young Gouk Cho, Gordon W. Roberts, Sadok Aouini, Mahdi Parvizi, Naim Ben-Hamida A coherent subsampling test system arrangement suitable for phase domain measurements. Search on Bibsonomy VTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Sudeep Pasricha, Davide Bertozzi, Hui Li 0034 Special session on overcoming reliability and energy-efficiency challenges with silicon photonics for future manycore computing. Search on Bibsonomy VTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Gregor Schatzberger, Friedrich Peter Leisenberger, Peter Sarson, Andreas Wiesner High efficient low cost EEPROM screening method in combination with an area optimized byte replacement strategy which enables high reliability EEPROMs. Search on Bibsonomy VTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Kanad Basu, Shreyas Sen Special session on intelligent sensor nodes. Search on Bibsonomy VTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Fatih Karabacak, Richard Welker, Matthew J. Casto, Jennifer N. Kitchen, Sule Ozev RF circuit authentication for detection of process Trojans. Search on Bibsonomy VTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Yiorgos Makris, Amit Nahar, Haralampos-G. D. Stratigopoulos, Marc Hutner Special session on machine learning: How will machine learning transform test? Search on Bibsonomy VTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Zhanwei Zhong, Guoliang Li 0004, Qinfu Yang, Jun Qian, Krishnendu Chakrabarty Broadcast-based minimization of the overall access time for the IEEE 1687 network. Search on Bibsonomy VTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Fang Lin, Ali Ahmadi, Kannan Sekar, Yan Pan, Ke Huang 0001 IC layout weak point effectiveness evaluation based on statistical methods. Search on Bibsonomy VTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Ro Cammarota, Naghmeh Karimi, Siddharth Garg, Jeyavijayan Rajendran Special session: Recent developments in hardware security. Search on Bibsonomy VTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Soumya Mittal, R. D. (Shawn) Blanton NOIDA: Noise-resistant Intra-cell Diagnosis. Search on Bibsonomy VTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Kexin Yang 0001, Taizhi Liu, Rui Zhang 0048, Linda Milor Circuit-level reliability simulator for front-end-of-line and middle-of-line time-dependent dielectric breakdown in FinFET technology. Search on Bibsonomy VTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Wim Dobbelaere, On Semi, Massimo Violante, Turin Polytechnic, Jeff Rearick Innovative practices on quality levels of A/MS devices. Search on Bibsonomy VTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Gaurav Rajavendra Reddy, Constantinos Xanthopoulos, Yiorgos Makris Enhanced hotspot detection through synthetic pattern generation and design of experiments. Search on Bibsonomy VTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Md. Nazmul Islam, Sandip Kundu An analytical model for predicting the residual life of an IC and design of residual-life meter. Search on Bibsonomy VTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Jeyavijayan (JV) Rajendran, Peilin Song, Suriya Natarajan Innovative practices session 3C hardware security. Search on Bibsonomy VTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Prashant Goteti, Sreejit Chakravarty Innovative practices session 6C DFT for functional safety. Search on Bibsonomy VTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Nour Sayed, Fabian Oboril, Rajendra Bishnoi, Mehdi Baradaran Tahoori Leveraging Systematic Unidirectional Error-Detecting Codes for fast STT-MRAM cache. Search on Bibsonomy VTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Yichuan Lu, Georgios Volanis, Kiruba S. Subramani, Angelos Antonopoulos 0002, Yiorgos Makris Knob non-idealities in learning-based post-production tuning of analog/RF ICs: Impact & remedies. Search on Bibsonomy VTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Ujjwal Guin, Ziqi Zhou, Adit D. Singh A novel design-for-security (DFS) architecture to prevent unauthorized IC overproduction. Search on Bibsonomy VTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Yun Cheng, Huawei Li 0001, Ying Wang 0001, Yingke Gao, Bo Liu 0018, Xiaowei Li 0001 Flip-flop clustering based trace signal selection for post-silicon debug. Search on Bibsonomy VTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Athanasios Chatzidimitriou, Manolis Kaliorakis, Sotiris Tselonis, Dimitris Gizopoulos Performance-aware reliability assessment of heterogeneous chips. Search on Bibsonomy VTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Claude Thibeault, Ali Louati A new delay testing signal scheme robust to power distribution network impedance variation. Search on Bibsonomy VTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Irith Pomeranz Using piecewise-functional broadside tests for functional broadside test compaction. Search on Bibsonomy VTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Zipeng Li, Jonathon E. Colburn, Vinod Pagalone, Kaushik Narayanun, Krishnendu Chakrabarty Test-cost optimization in a scan-compression architecture using support-vector regression. Search on Bibsonomy VTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Xuan Zuo, Sandeep K. Gupta 0001 Asymmetric sizing: An effective design approach for SRAM cells against BTI aging. Search on Bibsonomy VTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Huawei Li 0001, Xiaowei Li 0001 Innovative practices session 10C formal verification practices in industry. Search on Bibsonomy VTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Roya Dibaj, Dhamin Al-Khalili, Maitham Shams Comprehensive investigation of gate oxide short in FinFETs. Search on Bibsonomy VTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Wilson Pradeep, Prakash Narayanan, Rubin A. Parekhji An optimised SDD ATPG and SDQL computation method across different pattern sets. Search on Bibsonomy VTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Aibin Yan, Zhengfeng Huang, Maoxiang Yi, Jie Cui 0004, Huaguo Liang HLDTL: High-performance, low-cost, and double node upset tolerant latch design. Search on Bibsonomy VTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Guillaume Renaud, Marc Margalef-Rovira, Manuel J. Barragán, Salvador Mir Analysis of an efficient on-chip servo-loop technique for reduced-code static linearity test of pipeline ADCs. Search on Bibsonomy VTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1 35th IEEE VLSI Test Symposium, VTS 2017, Las Vegas, NV, USA, April 9-12, 2017 Search on Bibsonomy VTS The full citation details ... 2017 DBLP  BibTeX  RDF
1Yiorgos Makris, Srivaths Ravi 0001, Amit Majumdar 0002 Foreword. Search on Bibsonomy VTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Yu Huang Innovative practices session 11C SoC testing. Search on Bibsonomy VTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 2033 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license