|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 1928 occurrences of 678 keywords
|
|
|
Results
Found 2033 publication records. Showing 2033 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
1 | Yunjie Zhang, Liwei Zhou, Yiorgos Makris |
Hardware-based Real-time Workload Forensics via Frame-level TLB Profiling. |
VTS |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Saurabh Gupta 0005, Bonita Bhaskaran, Shantanu Sarangi, Ayub Abdollahian, Jennifer Dworak |
A Novel Graph Coloring Based Solution for Low-Power Scan Shift. |
VTS |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Ghislain Takam Tchendjou, Emmanuel Simeu |
Defective Pixel Analysis for Image Sensor Online Diagnostic and Self-Healing. |
VTS |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Pavan Kumar Datla Jagannadha, Mahmut Yilmaz, Milind Sonawane, Sailendra Chadalavada, Shantanu Sarangi, Bonita Bhaskaran, Shashank Bajpai, Venkat Abilash Reddy Nerallapally, Jayesh Pandey, Sam Jiang |
Special Session: In-System-Test (IST) Architecture for NVIDIA Drive-AGX Platforms. |
VTS |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Iris Ma, Hui King Lau, Joseph A. Reynick, Yu Huang 0005 |
Innovative Practices on DFT for AI Chips. |
VTS |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Manoj Niraula, Vipul Patel, Prakash Gothoskar, Attila Mekis, Gary Evans, Xuezhe Zheng |
Special Session: Photonic IC Testing - Challenges and Opportunities. |
VTS |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Ankit Shah, Raman Nayyar, Arani Sinha |
Silicon Proven Timing Signoff Methodology using Hazard-Free Robust Path Delay Tests. |
VTS |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Jubayer Mahmod, Spencer K. Millican, Ujjwal Guin, Vishwani D. Agrawal |
Special Session: Delay Fault Testing - Present and Future. |
VTS |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Abhishek Das, Nur A. Touba |
Layered-ECC: A Class of Double Error Correcting Codes for High Density Memory Systems. |
VTS |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Soowang Park, Sandeep K. Gupta 0001 |
Cache Design for Yield-per-Area Maximization: Switchable Spare Columns with Disabling (SSC-Disable). |
VTS |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Max M. Shulaker, Laurent Lebrun, Bozena Kaminska, Bernard Courtois |
Special Session (New Topic): Emerging Computing and Testing Techniques. |
VTS |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Wen Li, Ying Wang 0001, Huawei Li 0001, Xiaowei Li 0001 |
Leveraging Memory PUFs and PIM-based encryption to secure edge deep learning systems. |
VTS |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Leon Li, Alex Orailoglu |
Shielding Logic Locking from Redundancy Attacks. |
VTS |
2019 |
DBLP DOI BibTeX RDF |
|
1 | R. Iris Bahar, Ulya R. Karpuzcu, Sasa Misailovic |
Special Session: Does Approximation Make Testing Harder (or Easier)? |
VTS |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Abhishek Das, Nur A. Touba |
A Graph Theory Approach towards IJTAG Security via Controlled Scan Chain Isolation. |
VTS |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Barry John Muldrey, Suvadeep Banerjee, Abhijit Chatterjee |
Mixed Signal Design Validation Using Reinforcement Learning Guided Stimulus Generation for Behavior Discovery. |
VTS |
2019 |
DBLP DOI BibTeX RDF |
|
1 | S. Bandyopadhyay, J. Mekkoth, Marc Hutner, Hayk T. Grigoryan, Arun Kumar, Samvel K. Shoukourian, Grigor Tshagharyan, Yervant Zorian, Gabriele Boschi, Duccio Lazzarotti, Donato Luongo, Hanna Shaheen, Gurgen Harutyunyan |
Innovative Practices on In-System Test and Reliability of Memories. |
VTS |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Abhishek Koneru, Aida Todri-Sanial, Krishnendu Chakrabarty |
Reliable Power Delivery and Analysis of Power-Supply Noise During Testing in Monolithic 3D ICs. |
VTS |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Tai Song, Huaguo Liang, Ying Sun, Zhengfeng Huang, Maoxiang Yi, Xiangsheng Fang, Aibin Yan |
Novel Application of Deep Learning for Adaptive Testing Based on Long Short-Term Memory. |
VTS |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Malav Shah, Subhadeep Ghosh, Scott Martin |
Special Session: A Quality and Reliability Driven DFT and DFR Strategy for Automotive and Industrial Markets. |
VTS |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Jianwei Zhang, Sandeep K. Gupta 0001, William G. J. Halfond |
A New Method for Software Test Data Generation Inspired by D-algorithm. |
VTS |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Abdulrahman Alaql, Tamzidul Hoque, Domenic Forte, Swarup Bhunia |
Quality Obfuscation for Error-Tolerant and Adaptive Hardware IP Protection. |
VTS |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Liting Yu, Xiaoxiao Wang 0001 |
ZeroScreen: A Novel Structure for IC Reliability Screening at Time-Zero. |
VTS |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Wu-Tung Cheng, Grzegorz Mrugalski, Janusz Rajski, Maciej Trawka, Jerzy Tyszer |
On Cyclic Scan Integrity Tests for EDT-based Compression. |
VTS |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Ben Niewenhuis, Balaji Ravikumar, Zeye Liu 0001, R. D. Shawn Blanton |
Path Delay Test of the Carnegie Mellon Logic Characterization Vehicle. |
VTS |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Jeff Rearick, Alfred L. Crouch, Hans Martin von Staudt |
Innovative Practices on IEEE 1687.xyz. |
VTS |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Chenlei Fang, Qicheng Huang, Soumya Mittal, R. D. Shawn Blanton |
Diagnosis Outcome Preview through Learning. |
VTS |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Matthew McGuire, Ümit Y. Ogras, Sule Ozev |
PCB Hardware Trojans: Attack Modes and Detection Strategies. |
VTS |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Hans Martin von Staudt, Amit Majumdar 0002, Bill Taylor, Jennifer Kitchen |
Innovative Design for Test in State-of-the-Art Analog Systems. |
VTS |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Aijiao Cui, Yan Yang, Gang Qu 0001, Huawei Li 0001 |
A Secure and Low-overhead Active IC Metering Scheme. |
VTS |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Anandh Krishnan, John van Gelder, Mayukh Bhattacharya, Sreejit Chakravarty, Prashant Goteti |
Innovative practices on functional testing and fault simulation for FuSa. |
VTS |
2018 |
DBLP DOI BibTeX RDF |
|
1 | Jing Ye 0001, Qingli Guo, Yu Hu 0001, Huawei Li 0001, Xiaowei Li 0001 |
Modeling attacks on strong physical unclonable functions strengthened by random number and weak PUF. |
VTS |
2018 |
DBLP DOI BibTeX RDF |
|
1 | Sohrab Aftabjahani, Jason Oberg, Michael Chen, Huawei Li 0001 |
Innovative practices on challenges, opportunities, and solutions to hardware security. |
VTS |
2018 |
DBLP DOI BibTeX RDF |
|
1 | Roy Meade, Woosung Kim, Richard Otte, Eugene R. Atwood |
Innovative practices on silicon photonics. |
VTS |
2018 |
DBLP DOI BibTeX RDF |
|
1 | Abhrajit Sengupta, Mohammed Thari Nabeel, Muhammad Yasin, Ozgur Sinanoglu |
ATPG-based cost-effective, secure logic locking. |
VTS |
2018 |
DBLP DOI BibTeX RDF |
|
1 | Terrence S. T. Mak, Hiroki Matsutani, Partha Pratim Pande |
Special session on bringing cores closer together: The wireless revolution in on-chip communication. |
VTS |
2018 |
DBLP DOI BibTeX RDF |
|
1 | Abhishek Das, Nur A. Touba |
Systematic b-adjacent symbol error correcting reed-solomon codes with parallel decoding. |
VTS |
2018 |
DBLP DOI BibTeX RDF |
|
1 | Maryam Shafiee, Jennifer N. Kitchen, Sule Ozev |
A built-in self-test technique for transmitter-only systems. |
VTS |
2018 |
DBLP DOI BibTeX RDF |
|
1 | Hans-Mart von Staudt, James Izon, Sule Ozev, Peter Sarson |
Special session on BIST/calibration of A/MS devices. |
VTS |
2018 |
DBLP DOI BibTeX RDF |
|
1 | Kareem Madkour, Zhaobo Zhang, Alfred L. Crouch, Peter L. Levin, Eve Hunter, Yu Huang 0005 |
Innovative practices on machine learning for emerging applications. |
VTS |
2018 |
DBLP DOI BibTeX RDF |
|
1 | Felix Neubauer, Jan Burchard, Pascal Raiola, Jochen Rivoir, Bernd Becker 0001, Matthias Sauer 0002 |
Efficient generation of parametric test conditions for AMS chips with an interval constraint solver. |
VTS |
2018 |
DBLP DOI BibTeX RDF |
|
1 | Yingdi Liu, Janusz Rajski, Sudhakar M. Reddy, Jedrzej Solecki, Jerzy Tyszer |
Staggered ATPG with capture-per-cycle observation test points. |
VTS |
2018 |
DBLP DOI BibTeX RDF |
|
1 | Michael S. Hsiao, Sarmad Tanwir |
Fast fault coverage estimation of sequential tests using entropy measurements. |
VTS |
2018 |
DBLP DOI BibTeX RDF |
|
1 | Alessandro Vallero, Sotiris Tselonis, Dimitris Gizopoulos, Stefano Di Carlo |
Multi-faceted microarchitecture level reliability characterization for NVIDIA and AMD GPUs. |
VTS |
2018 |
DBLP DOI BibTeX RDF |
|
1 | Jeff Jun Zhang, Tianyu Gu, Kanad Basu, Siddharth Garg |
Analyzing and mitigating the impact of permanent faults on a systolic array based neural network accelerator. |
VTS |
2018 |
DBLP DOI BibTeX RDF |
|
1 | Tsung-Ching Jim Huang, Jason Marsh, Scott H. Goodwin, Dorota S. Temple |
Innovative practices on design & test for flexible hybrid electronics. |
VTS |
2018 |
DBLP DOI BibTeX RDF |
|
1 | Koji Asami, Yoshiro Tamura, Haruo Kobayashi 0001, Jun Matsushima, Yoichi Maeda, Kazumi Hatayama |
Innovative practices on test in Japan. |
VTS |
2018 |
DBLP DOI BibTeX RDF |
|
1 | Lukás Sekanina, Zdenek Vasícek, Alberto Bosio, Marcello Traiola, Paolo Rech, Daniel Oliveira 0002, Fernando Fernandes 0001, Stefano Di Carlo |
Special session: How approximate computing impacts verification, test and reliability. |
VTS |
2018 |
DBLP DOI BibTeX RDF |
|
1 | Abhishek Koneru, Krishnendu Chakrabarty |
An inter-layer interconnect BIST solution for monolithic 3D ICs. |
VTS |
2018 |
DBLP DOI BibTeX RDF |
|
1 | Art Schaldenbrand, Yervant Zorian, Stephen Sunter, Peter Sarson |
IP session on ISO26262 EDA. |
VTS |
2018 |
DBLP DOI BibTeX RDF |
|
1 | Tamzidul Hoque, Xinmu Wang, Abhishek Basak, Robert Karam, Swarup Bhunia |
Hardware Trojan attacks in embedded memory. |
VTS |
2018 |
DBLP DOI BibTeX RDF |
|
1 | Carlo Reita, Jonathan Baugh, Gabriel Poulin-Lamarre, Bozena Kaminska, Bernard Courtois |
Special session on quantum systems: Next challenges in design, test, integration. |
VTS |
2018 |
DBLP DOI BibTeX RDF |
|
1 | Yanjun Li, Ender Yilmaz, Peter Sarson, Sule Ozev |
Online information utility assessment for per-device adaptive test flow. |
VTS |
2018 |
DBLP DOI BibTeX RDF |
|
1 | Omar Al-Terkawi Hasib, Daniel Crepeau, Thomas Awad, Andrei Dulipovici, Yvon Savaria, Claude Thibeault |
Exploiting built-in delay lines for applying launch-on-capture at-speed testing on self-timed circuits. |
VTS |
2018 |
DBLP DOI BibTeX RDF |
|
1 | Rana Elnaggar, Ramesh Karri, Krishnendu Chakrabarty |
Securing IJTAG against data-integrity attacks. |
VTS |
2018 |
DBLP DOI BibTeX RDF |
|
1 | Shimeng Yu, Chenchen Liu, Wujie Wen, Yiran Chen 0001 |
Special session on reliability and vulnerability of neuromorphic computing systems. |
VTS |
2018 |
DBLP DOI BibTeX RDF |
|
1 | Qutaiba Khasawneh, Jennifer Dworak, Ping Gui, Benjamin Williams, Alan C. Elliott, Anand Muthaiah |
Real-time monitoring of test fallout data to quickly identify tester and yield issues in a multi-site environment. |
VTS |
2018 |
DBLP DOI BibTeX RDF |
|
1 | Krishnendu Chakrabarty, Li-C. Wang, Gaurav Veda, Yu Huang 0005 |
Special session on machine learning for test and diagnosis. |
VTS |
2018 |
DBLP DOI BibTeX RDF |
|
1 | Saurabh Gupta 0005, Jae Wu, Jennifer Dworak |
Efficient parallel testing: A configurable and scalable broadcast network design using IJTAG. |
VTS |
2018 |
DBLP DOI BibTeX RDF |
|
1 | Sascha Heinssen, Theodor Hillebrand, Maike Taddiken, Steffen Paul, Dagmar Peters-Drolshagen |
On-line monitoring and error correction in sensor interface circuits using digital calibration techniques. |
VTS |
2018 |
DBLP DOI BibTeX RDF |
|
1 | |
36th IEEE VLSI Test Symposium, VTS 2018, San Francisco, CA, USA, April 22-25, 2018 |
VTS |
2018 |
DBLP BibTeX RDF |
|
1 | Mohammad Nasim Imtiaz Khan, Swaroop Ghosh |
Test challenges and solutions for emerging non-volatile memories. |
VTS |
2018 |
DBLP DOI BibTeX RDF |
|
1 | Ziqi Zhou, Ujjwal Guin, Vishwani D. Agrawal |
Modeling and test generation for combinational hardware Trojans. |
VTS |
2018 |
DBLP DOI BibTeX RDF |
|
1 | Shih-Yao Lin 0001, Yen-Chun Fang, Yu-Ching Li, Yu-Cheng Liu, Tsung-Shan Yang, Shang-Chien Lin, Chien-Mo James Li, Eric Jia-Wei Fang |
IR drop prediction of ECO-revised circuits using machine learning. |
VTS |
2018 |
DBLP DOI BibTeX RDF |
|
1 | Peter Sarson, Tomonori Yanagida, Kosuke Machida |
Group delay measurement of frequency down-converter devices using chirped RF modulated signal. |
VTS |
2018 |
DBLP DOI BibTeX RDF |
|
1 | Marc Margalef-Rovira, Manuel J. Barragán, Ekta Sharma, Philippe Ferrari, Emmanuel Pistono, Sylvain Bourdel |
An oscillation-based test technique for on-chip testing of mm-wave phase shifters. |
VTS |
2018 |
DBLP DOI BibTeX RDF |
|
1 | M. Casarsa, Gurgen Harutyunyan, Kaitlyn Chen, Ramesh Sharma, Giri Podichetty, Martin Keim, Sreejit Chakravarty, Ramesh C. Tekumalla |
Innovative practices on memory test practice. |
VTS |
2018 |
DBLP DOI BibTeX RDF |
|
1 | Young Gouk Cho, Gordon W. Roberts, Sadok Aouini, Mahdi Parvizi, Naim Ben-Hamida |
A coherent subsampling test system arrangement suitable for phase domain measurements. |
VTS |
2018 |
DBLP DOI BibTeX RDF |
|
1 | Sudeep Pasricha, Davide Bertozzi, Hui Li 0034 |
Special session on overcoming reliability and energy-efficiency challenges with silicon photonics for future manycore computing. |
VTS |
2018 |
DBLP DOI BibTeX RDF |
|
1 | Gregor Schatzberger, Friedrich Peter Leisenberger, Peter Sarson, Andreas Wiesner |
High efficient low cost EEPROM screening method in combination with an area optimized byte replacement strategy which enables high reliability EEPROMs. |
VTS |
2018 |
DBLP DOI BibTeX RDF |
|
1 | Kanad Basu, Shreyas Sen |
Special session on intelligent sensor nodes. |
VTS |
2018 |
DBLP DOI BibTeX RDF |
|
1 | Fatih Karabacak, Richard Welker, Matthew J. Casto, Jennifer N. Kitchen, Sule Ozev |
RF circuit authentication for detection of process Trojans. |
VTS |
2018 |
DBLP DOI BibTeX RDF |
|
1 | Yiorgos Makris, Amit Nahar, Haralampos-G. D. Stratigopoulos, Marc Hutner |
Special session on machine learning: How will machine learning transform test? |
VTS |
2018 |
DBLP DOI BibTeX RDF |
|
1 | Zhanwei Zhong, Guoliang Li 0004, Qinfu Yang, Jun Qian, Krishnendu Chakrabarty |
Broadcast-based minimization of the overall access time for the IEEE 1687 network. |
VTS |
2018 |
DBLP DOI BibTeX RDF |
|
1 | Fang Lin, Ali Ahmadi, Kannan Sekar, Yan Pan, Ke Huang 0001 |
IC layout weak point effectiveness evaluation based on statistical methods. |
VTS |
2018 |
DBLP DOI BibTeX RDF |
|
1 | Ro Cammarota, Naghmeh Karimi, Siddharth Garg, Jeyavijayan Rajendran |
Special session: Recent developments in hardware security. |
VTS |
2018 |
DBLP DOI BibTeX RDF |
|
1 | Soumya Mittal, R. D. (Shawn) Blanton |
NOIDA: Noise-resistant Intra-cell Diagnosis. |
VTS |
2018 |
DBLP DOI BibTeX RDF |
|
1 | Kexin Yang 0001, Taizhi Liu, Rui Zhang 0048, Linda Milor |
Circuit-level reliability simulator for front-end-of-line and middle-of-line time-dependent dielectric breakdown in FinFET technology. |
VTS |
2018 |
DBLP DOI BibTeX RDF |
|
1 | Wim Dobbelaere, On Semi, Massimo Violante, Turin Polytechnic, Jeff Rearick |
Innovative practices on quality levels of A/MS devices. |
VTS |
2018 |
DBLP DOI BibTeX RDF |
|
1 | Gaurav Rajavendra Reddy, Constantinos Xanthopoulos, Yiorgos Makris |
Enhanced hotspot detection through synthetic pattern generation and design of experiments. |
VTS |
2018 |
DBLP DOI BibTeX RDF |
|
1 | Md. Nazmul Islam, Sandip Kundu |
An analytical model for predicting the residual life of an IC and design of residual-life meter. |
VTS |
2017 |
DBLP DOI BibTeX RDF |
|
1 | Jeyavijayan (JV) Rajendran, Peilin Song, Suriya Natarajan |
Innovative practices session 3C hardware security. |
VTS |
2017 |
DBLP DOI BibTeX RDF |
|
1 | Prashant Goteti, Sreejit Chakravarty |
Innovative practices session 6C DFT for functional safety. |
VTS |
2017 |
DBLP DOI BibTeX RDF |
|
1 | Nour Sayed, Fabian Oboril, Rajendra Bishnoi, Mehdi Baradaran Tahoori |
Leveraging Systematic Unidirectional Error-Detecting Codes for fast STT-MRAM cache. |
VTS |
2017 |
DBLP DOI BibTeX RDF |
|
1 | Yichuan Lu, Georgios Volanis, Kiruba S. Subramani, Angelos Antonopoulos 0002, Yiorgos Makris |
Knob non-idealities in learning-based post-production tuning of analog/RF ICs: Impact & remedies. |
VTS |
2017 |
DBLP DOI BibTeX RDF |
|
1 | Ujjwal Guin, Ziqi Zhou, Adit D. Singh |
A novel design-for-security (DFS) architecture to prevent unauthorized IC overproduction. |
VTS |
2017 |
DBLP DOI BibTeX RDF |
|
1 | Yun Cheng, Huawei Li 0001, Ying Wang 0001, Yingke Gao, Bo Liu 0018, Xiaowei Li 0001 |
Flip-flop clustering based trace signal selection for post-silicon debug. |
VTS |
2017 |
DBLP DOI BibTeX RDF |
|
1 | Athanasios Chatzidimitriou, Manolis Kaliorakis, Sotiris Tselonis, Dimitris Gizopoulos |
Performance-aware reliability assessment of heterogeneous chips. |
VTS |
2017 |
DBLP DOI BibTeX RDF |
|
1 | Claude Thibeault, Ali Louati |
A new delay testing signal scheme robust to power distribution network impedance variation. |
VTS |
2017 |
DBLP DOI BibTeX RDF |
|
1 | Irith Pomeranz |
Using piecewise-functional broadside tests for functional broadside test compaction. |
VTS |
2017 |
DBLP DOI BibTeX RDF |
|
1 | Zipeng Li, Jonathon E. Colburn, Vinod Pagalone, Kaushik Narayanun, Krishnendu Chakrabarty |
Test-cost optimization in a scan-compression architecture using support-vector regression. |
VTS |
2017 |
DBLP DOI BibTeX RDF |
|
1 | Xuan Zuo, Sandeep K. Gupta 0001 |
Asymmetric sizing: An effective design approach for SRAM cells against BTI aging. |
VTS |
2017 |
DBLP DOI BibTeX RDF |
|
1 | Huawei Li 0001, Xiaowei Li 0001 |
Innovative practices session 10C formal verification practices in industry. |
VTS |
2017 |
DBLP DOI BibTeX RDF |
|
1 | Roya Dibaj, Dhamin Al-Khalili, Maitham Shams |
Comprehensive investigation of gate oxide short in FinFETs. |
VTS |
2017 |
DBLP DOI BibTeX RDF |
|
1 | Wilson Pradeep, Prakash Narayanan, Rubin A. Parekhji |
An optimised SDD ATPG and SDQL computation method across different pattern sets. |
VTS |
2017 |
DBLP DOI BibTeX RDF |
|
1 | Aibin Yan, Zhengfeng Huang, Maoxiang Yi, Jie Cui 0004, Huaguo Liang |
HLDTL: High-performance, low-cost, and double node upset tolerant latch design. |
VTS |
2017 |
DBLP DOI BibTeX RDF |
|
1 | Guillaume Renaud, Marc Margalef-Rovira, Manuel J. Barragán, Salvador Mir |
Analysis of an efficient on-chip servo-loop technique for reduced-code static linearity test of pipeline ADCs. |
VTS |
2017 |
DBLP DOI BibTeX RDF |
|
1 | |
35th IEEE VLSI Test Symposium, VTS 2017, Las Vegas, NV, USA, April 9-12, 2017 |
VTS |
2017 |
DBLP BibTeX RDF |
|
1 | Yiorgos Makris, Srivaths Ravi 0001, Amit Majumdar 0002 |
Foreword. |
VTS |
2017 |
DBLP DOI BibTeX RDF |
|
1 | Yu Huang |
Innovative practices session 11C SoC testing. |
VTS |
2017 |
DBLP DOI BibTeX RDF |
|
Displaying result #201 - #300 of 2033 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ 12][ >>] |
|