The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase chip-multiprocessor (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1991-1999 (24) 2000-2001 (17) 2002-2003 (29) 2004 (22) 2005 (34) 2006 (65) 2007 (71) 2008 (70) 2009 (50) 2010 (37) 2011 (16) 2012-2013 (20) 2014-2015 (24) 2016-2018 (16) 2019-2021 (8)
Publication types (Num. hits)
article(109) incollection(1) inproceedings(387) phdthesis(6)
Venues (Conferences, Journals, ...)
MICRO(21) ISCA(18) ASPLOS(14) Conf. Computing Frontiers(14) IEEE Trans. Computers(14) ICCD(12) PACT(12) DATE(11) IPDPS(11) HPCA(10) CODES+ISSS(9) ISLPED(8) SIGARCH Comput. Archit. News(7) CASES(6) IEEE Micro(6) IEEE PACT(6) More (+10 of total 192)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 526 occurrences of 280 keywords

Results
Found 503 publication records. Showing 503 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
16Shahnawaz Talpur, Feng Shi 0009, Yizhuo Wang Communication Locality Analysis of Triplet-Based Hierarchical Interconnection Network in Chip Multiprocessor. Search on Bibsonomy NPC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Aparna Mandke Dani, Y. N. Srikant, Bharadwaj Amrutur Efficient cache exploration method for a tiled chip multiprocessor. Search on Bibsonomy HiPC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Guo Cheng, Luo Chen, Qiu-Yun Wu, Ning Jing Parallelization Methods for Edge Extraction Applied to Chip Multiprocessor Clusters. Search on Bibsonomy CIT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Shufan Yang, Qiang Wu, Xiongren Xiao, Renfa Li, Dominic Hillenbrand Fair Access to External Memory for Chip-multiprocessor. Search on Bibsonomy IPDPS Workshops The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Mohammad Ghasemazar, Hadi Goudarzi, Massoud Pedram Robust optimization of a Chip Multiprocessor's performance under power and thermal constraints. Search on Bibsonomy ICCD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Matthew DeVuyst, Ashish Venkat, Dean M. Tullsen Execution migration in a heterogeneous-ISA chip multiprocessor. Search on Bibsonomy ASPLOS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Pablo Prieto, Valentin Puente, José-Ángel Gregorio Multilevel Cache Modeling for Chip-Multiprocessor Systems. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Fakhar Anjam, Muhammad Faisal Nadeem, Stephan Wong Targeting code diversity with run-time adjustable issue-slots in a chip multiprocessor. Search on Bibsonomy DATE The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Mohammad Ghasemazar, Massoud Pedram Variation aware dynamic power management for chip multiprocessor architectures. Search on Bibsonomy DATE The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Sanghoon Lee 0006, James Tuck 0001 Automatic parallelization of fine-grained meta-functions on a chip multiprocessor. Search on Bibsonomy CGO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Sanghoon Lee 0006, Devesh Tiwari, Yan Solihin, James Tuck 0001 HAQu: Hardware-accelerated queueing for fine-grained threading on a chip multiprocessor. Search on Bibsonomy HPCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Malèk Channoufi, Pierre Lecoy, Rabah Attia, Bruno Delacressonniere, S. Garcia Toward All Optical Interconnections in Chip Multiprocessor (2). Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Vassilios A. Chouliaras, George Lentaris, Dionisios I. Reisis, David Stevens Customizing a VLIW Chip Multiprocessor for Motion Estimation Algorithms. Search on Bibsonomy ARCS Workshops The full citation details ... 2011 DBLP  BibTeX  RDF
16Thomas Canhao Xu, Pasi Liljeberg, Hannu Tenhunen Explorations of optimal core and cache placements for Chip Multiprocessor. Search on Bibsonomy NORCHIP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Thomas Canhao Xu, Pasi Liljeberg, Hannu Tenhunen Optimal memory controller placement for chip multiprocessor. Search on Bibsonomy CODES+ISSS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Jian Wang 0035, Andreas Karlsson, Joar Sohl, Magnus Pettersson, Dake Liu A multi-level arbitration and topology free streaming network for chip multiprocessor. Search on Bibsonomy ASICON The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Mohammad Hammoud, Sangyeun Cho, Rami G. Melhem Cache equalizer: a placement mechanism for chip multiprocessor distributed shared caches. Search on Bibsonomy HiPEAC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Limin Han, Deyuan Gao, Xiaoya Fan, Liwen Shi, Jianfeng An Global Prefetcher Aggressiveness Control for Chip-Multiprocessor. Search on Bibsonomy CIS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Sudeep Pasricha, Fadi J. Kurdahi, Nikil D. Dutt Evaluating Carbon Nanotube Global Interconnects for Chip Multiprocessor Applications. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Ozcan Ozturk 0001 Improving chip multiprocessor reliability through code replication. Search on Bibsonomy Comput. Electr. Eng. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Fei Guo, Yan Solihin, Li Zhao 0002, Ravishankar R. Iyer 0001 Quality of service shared cache management in chip multiprocessor architecture. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Christof Pitter, Martin Schoeberl A real-time Java chip-multiprocessor. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16D. Ramakrishnan, Y. L. Wu, W. B. Jone Design and Analysis of Location Caches in a NoC-Based Chip Multiprocessor System. Search on Bibsonomy J. Low Power Electron. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Quentin L. Meunier, Frédéric Pétrot, Jean-Louis Roch Hardware/software support for adaptive work-stealing in on-chip multiprocessor. Search on Bibsonomy J. Syst. Archit. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Long Zheng 0001, Mianxiong Dong, Hai Jin 0001, Minyi Guo, Song Guo 0001, Xuping Tu The Core Degree Based Tag Reduction on Chip Multiprocessor to Balance Energy Saving and Performance Overhead. Search on Bibsonomy NPC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16David Stevens, Vassilios A. Chouliaras LE1: A Parameterizable VLIW Chip-Multiprocessor with Hardware PThreads Support. Search on Bibsonomy ISVLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Thomas Canhao Xu, Alexander Wei Yin, Pasi Liljeberg, Hannu Tenhunen Operating System Processor Scheduler Design for Future Chip Multiprocessor. Search on Bibsonomy ARCS Workshops The full citation details ... 2010 DBLP  BibTeX  RDF
16Dan Upton, Kim M. Hazelwood Design of a custom VEE core in a chip multiprocessor. Search on Bibsonomy SASP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Kunle Olukotun Chip multiprocessor architecture: A programmability-driven approach. Search on Bibsonomy IPDPS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Konstantinos Krommydas, George Tsoublekas, Christos D. Antonopoulos, Nikolaos Bellas Mapping and optimization of the AVS video decoder on a high performance chip multiprocessor. Search on Bibsonomy ICME The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Mohammad Ghasemazar, Ehsan Pakbaznia, Massoud Pedram Minimizing energy consumption of a chip multiprocessor through simultaneous core consolidation and DVFS. Search on Bibsonomy ISCAS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Danfeng Zhu, Rui Wang 0014, Hui Wang, Depei Qian, Zhongzhi Luan, Tianshu Chu A Fair Thread-Aware Memory Scheduling Algorithm for Chip Multiprocessor. Search on Bibsonomy ICA3PP (1) The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Jiayin Li, Meikang Qiu, Jianwei Niu 0002, Tianzhou Chen, Yongxin Zhu 0001 Real-Time Constrained Task Scheduling in 3D Chip Multiprocessor to Reduce Peak Temperature. Search on Bibsonomy EUC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Shaobo Liu, Jingyi Zhang, Qing Wu 0002, Qinru Qiu Thermal-aware job allocation and scheduling for three dimensional chip multiprocessor. Search on Bibsonomy ISQED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Mohammad Ghasemazar, Ehsan Pakbaznia, Massoud Pedram Minimizing the power consumption of a Chip Multiprocessor under an average throughput constraint. Search on Bibsonomy ISQED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Christophe Bobda, Philipp Mahr, Benjamin Andres, Harold Ishebabi Application-driven architecture synthesis of on-chip Multiprocessor systems. Search on Bibsonomy HPCS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Magnus Jahre, Marius Grannæs, Lasse Natvig DIEF: An Accurate Interference Feedback Mechanism for Chip Multiprocessor Memory Systems. Search on Bibsonomy HiPEAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Sebastian Herbert, Diana Marculescu Mitigating the Impact of Variability on Chip-Multiprocessor Power and Performance. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Gabor Madl, Sudeep Pasricha, Nikil D. Dutt, Sherif Abdelwahed Cross-abstraction Functional Verification and Performance Analysis of Chip Multiprocessor Designs. Search on Bibsonomy IEEE Trans. Ind. Informatics The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Davy Genbrugge, Lieven Eeckhout Chip Multiprocessor Design Space Exploration through Statistical Simulation. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Gilbert Hendry, Shoaib Kamil 0001, Aleksandr Biberman, Johnnie Chan, Benjamin G. Lee, Marghoob Mohiyuddin, Ankit Jain, Keren Bergman, Luca P. Carloni, John Kubiatowicz, Leonid Oliker, John Shalf Analysis of photonic networks for a chip multiprocessor using scientific applications. Search on Bibsonomy NOCS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Taecheol Oh, Hyunjin Lee, Kiyeon Lee, Sangyeun Cho An Analytical Model to Study Optimal Area Breakdown between Cores and Caches in a Chip Multiprocessor. Search on Bibsonomy ISVLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Shoumeng Yan, Xiaocheng Zhou, Ying Gao, Hu Chen, Sai Luo, Peinan Zhang, Naveen Cherukuri, Ronny Ronen, Bratin Saha Terascale chip multiprocessor memory hierarchy and programming model. Search on Bibsonomy HiPC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Yue Wu, Lei Xu, Hongbin Yang Loop Selection to Boost Thread Level Speculation Parallelism in Chip Multiprocessor Systems. Search on Bibsonomy CIT (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Gianluca Palermo, Cristina Silvano, Vittorio Zaccaria Variability-aware robust design space exploration of chip multiprocessor architectures. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Magnus Jahre, Marius Grannæs, Lasse Natvig A Quantitative Study of Memory System Interference in Chip Multiprocessor Architectures. Search on Bibsonomy HPCC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16S. Subha An Algorithm for Parallel Execution of Loops in Chip Multiprocessor Caches. Search on Bibsonomy ARTCom The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Shu-Yen Lin, Chan-Cheng Hsu, An-Yeu Wu A Scalable Built-in Self-test/Self-diagnosis Architecture for 2D-Mesh based Chip Multiprocessor Systems. Search on Bibsonomy ISCAS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Kazuaki Ishizaki, Toshio Nakatani, Shahrokh Daijavad Analyzing and improving performance scalability of commercial server workloads on a chip multiprocessor. Search on Bibsonomy IISWC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Jin Cui, Douglas L. Maskell Dynamic thermal-aware scheduling on chip multiprocessor for soft real-time system. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF dynamic tas, post thermal map, cmp, soft real-time
16Andrew Cassidy, Andreas G. Andreou Analytical methods for the design and optimization of chip-multiprocessor architectures. Search on Bibsonomy CISS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Xin Jin 0003, Alexander D. Rast, Francesco Galluppi, Muhammad Mukaram Khan, Steve B. Furber Implementing Learning on the SpiNNaker Universal Neural Chip Multiprocessor. Search on Bibsonomy ICONIP (1) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Dietmar Tutsch, Daniel Lüdtke Chip Multiprocessor Traffic Models Providing Consistent Multicast and Spatial Distributions. Search on Bibsonomy Simul. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Ravi Kiran Karanam, Arun Ravindran, Arindam Mukherjee 0001 A stream chip-multiprocessor for bioinformatics. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Konstantinos Tatas, Costas Kyriacou, Paraskevas Evripidou, Pedro Trancoso, Stephan Wong Rapid Prototyping of the Data-Driven Chip-Multiprocessor (d2-CMP) Using FPGAs. Search on Bibsonomy Parallel Process. Lett. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Hiroaki Shikano, Jun Shirako, Yasutaka Wada, Keiji Kimura, Hironori Kasahara Power-Aware Compiler Controllable Chip Multiprocessor. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Changyun Zhu, Zhenyu (Peter) Gu, Li Shang, Robert P. Dick, Russ Joseph Three-Dimensional Chip-Multiprocessor Run-Time Thermal Management. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Rui Gong, Kui Dai, Zhiying Wang 0003 Transient Fault Recovery on Chip Multiprocessor based on Dual Core Redundancy and Context Saving. Search on Bibsonomy ICYCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Christof Pitter, Martin Schoeberl Performance evaluation of a java chip-multiprocessor. Search on Bibsonomy SIES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Abhishek Bhattacharjee, Gilberto Contreras, Margaret Martonosi Full-system chip multiprocessor power evaluations using FPGA-based emulation. Search on Bibsonomy ISLPED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Jun Zhang, Xiao-Ya Fan, Song-He Liu A Pollution Alleviative L2 Cache Replacement Policy for Chip Multiprocessor Architecture. Search on Bibsonomy NAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Michael Gschwind Optimizing data sharing and address translation for the Cell BE Heterogeneous Chip Multiprocessor. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Muhammad Mukaram Khan, David R. Lester, Luis A. Plana, Alexander D. Rast, Xin Jin 0003, Eustace Painkras, Stephen B. Furber SpiNNaker: Mapping neural networks onto a massively-parallel chip multiprocessor. Search on Bibsonomy IJCNN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Rui Gong, Kui Dai, Zhiying Wang 0003 Transient Fault Tolerance on Chip Multiprocessor Based on Dual and Triple Core Redundancy. Search on Bibsonomy PRDC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Masaaki Kondo, Hiroshi Sasaki 0001, Hiroshi Nakamura Improving fairness, throughput and energy-efficiency on a chip multiprocessor through DVFS. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Haakon Dybdahl, Per Stenström, Lasse Natvig An LRU-based replacement algorithm augmented with frequency of access in shared chip-multiprocessor caches. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Kyriakos Stavrou, Costas Kyriacou, Paraskevas Evripidou, Pedro Trancoso Chip multiprocessor based on data-driven multithreading model. Search on Bibsonomy Int. J. High Perform. Syst. Archit. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Jörg-Christian Niemann, Christoph Puttmann, Mario Porrmann, Ulrich Rückert 0001 Resource efficiency of the GigaNetIC chip multiprocessor architecture. Search on Bibsonomy J. Syst. Archit. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Hiroaki Shikano, Jun Shirako, Yasutaka Wada, Keiji Kimura, Hironori Kasahara Power-Aware Compiler Controllable Chip Multiprocessor. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Christopher LaFrieda, Engin Ipek, José F. Martínez, Rajit Manohar Utilizing Dynamically Coupled Cores to Form a Resilient Chip Multiprocessor. Search on Bibsonomy DSN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Njuguna Njoroge, Jared Casper, Sewook Wee, Yuriy Teslyar, Daxia Ge, Christos Kozyrakis, Kunle Olukotun ATLAS: a chip-multiprocessor with transactional memory support. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Jisheng Zhao, Matthew Horsnell, Ian Rogers, Andrew Dinn, Chris C. Kirkham, Ian Watson Optimizing Chip Multiprocessor Work Distribution Using Dynamic Compilation. Search on Bibsonomy Euro-Par The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Automatic parallelization, dynamic execution, feedback-directed optimization
16Étienne Ogoubi, Abdelhakim Hafid, Marcel Turcotte An Isometric on on-Chip Multiprocessor Architecture. Search on Bibsonomy ICECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Lei Miao 0002, Yong Qi, Di Hou, Yue-hua Dai Energy-Aware Scheduling Tasks on Chip Multiprocessor. Search on Bibsonomy ICNC (4) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Mikhail Smelyanskiy, Victor W. Lee, Daehyun Kim 0001, Anthony D. Nguyen, Pradeep Dubey Scaling performance of interior-point method on large-scale chip multiprocessor system. Search on Bibsonomy SC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Pei Gu, Uzi Vishkin Case study of gate-level logic simulation on an extremely fine-grained chip multiprocessor. Search on Bibsonomy J. Embed. Comput. The full citation details ... 2006 DBLP  BibTeX  RDF
16Patrick Anthony La Fratta, James M. Baker Jr. Exploring power reduction options for a single-chip multiprocessor through system-level modeling. Search on Bibsonomy J. Embed. Comput. The full citation details ... 2006 DBLP  BibTeX  RDF
16Francisco J. Villa, Manuel E. Acacio, José M. García 0001 On the Evaluation of Dense Chip-Multiprocessor Architectures. Search on Bibsonomy ICSAMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Haakon Dybdahl, Per Stenström, Lasse Natvig An LRU-based replacement algorithm augmented with frequency of access in shared chip-multiprocessor caches. Search on Bibsonomy MEDEA@PACT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Pengyong Ma, Shuming Chen MID: a Novel Coherency Protocol in Chip Multiprocessor. Search on Bibsonomy CIT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Gregory Buehrer, Yen-Kuang Chen, Srinivasan Parthasarathy 0001, Anthony D. Nguyen, Amol Ghoting, Daehyun Kim 0001 Efficient pattern mining on shared memory systems: implications for chip multiprocessor architectures. Search on Bibsonomy Memory System Performance and Correctness The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16David A. Wood 0001 Keynote talk challenges in chip multiprocessor memory systems. Search on Bibsonomy Memory System Performance and Correctness The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Haixia Wang 0001, Dongsheng Wang 0002, Peng Li 0031 SRC-based Cache Coherence Protocol in Chip Multiprocessor. Search on Bibsonomy FCST The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Pavlos Petoumenos, Georgios Keramidas, Håkan Zeffer, Stefanos Kaxiras, Erik Hagersten Modeling Cache Sharing on Chip Multiprocessor Architectures. Search on Bibsonomy IISWC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Michael Ruogu Zhang Latency reduction techniques in chip multiprocessor cache systems. Search on Bibsonomy 2006   RDF
16J. L. Dou A compiler cost model for speculative multithreading chip-multiprocessor architectures. Search on Bibsonomy 2006   RDF
16Peter Poplavko, Twan Basten, Milan Pastrnak, Jef L. van Meerbergen, Marco Bekooij, Peter H. N. de With Extended abstract: estimation times of on-chip multiprocessor stream-oriented applications. Search on Bibsonomy MEMOCODE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Keiji Kimura, Yasutaka Wada, Hirofumi Nakano, Takeshi Kodaka, Jun Shirako, Kazuhisa Ishizaka, Hironori Kasahara Multigrain parallel processing on compiler cooperative chip multiprocessor. Search on Bibsonomy Interaction between Compilers and Computer Architectures The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Tom R. Jacobs, José L. Núñez-Yáñez A Thread and Data-Parallel MPEG-4 Video Encoder for a System-On-Chip Multiprocessor. Search on Bibsonomy ASAP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Satoshi Kaneko, Hiroyuki Kondo, Norio Masui, Koichi Ishimi, Teruyuki Itou, Masayuki Satou, Naoto Okumura, Yukari Takata, Hirokazu Takata, Mamoru Sakugawa, Takashi Higuchi, Sugako Ohtani, Kei Sakamoto, Naoshi Ishikawa, Masami Nakajima, Shunichi Iwata, Kiyoshi Hayase, Satoshi Nakano, Sachiko Nakazawa, Kunihiro Yamada, Toru Shimizu A 600-MHz single-chip multiprocessor with 4.8-GB/s internal shared pipelined bus and 512-kB internal memory. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Chao-Chin Wu Embedding a superscalar processor onto a chip multiprocessor. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Terry Tao Ye, Luca Benini, Giovanni De Micheli Packetization and routing analysis of on-chip multiprocessor networks. Search on Bibsonomy J. Syst. Archit. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Bradford M. Beckmann, David A. Wood 0001 Managing Wire Delay in Large Chip-Multiprocessor Caches. Search on Bibsonomy MICRO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Saifeddine Berrayana, Etienne Faure, Daniela Genius, Frédéric Pétrot Modular On-chip Multiprocessor for Routing Applications. Search on Bibsonomy Euro-Par The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Basant Kumar Dwivedi, Anshul Kumar, M. Balakrishnan Automatic synthesis of system on chip multiprocessor architectures for process networks. Search on Bibsonomy CODES+ISSS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF application specific multiprocessors, partitioning, Kahn process networks
16Mirko Loghi, Luca Benini, Massimo Poncino Analyzing Power Consumption of Message Passing Primitives in a Single-Chip Multiprocessor. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Scott F. Smith 0002 Performance of a GALS Single-Chip Multiprocessor. Search on Bibsonomy PDPTA The full citation details ... 2004 DBLP  BibTeX  RDF
16Yuu Tanaka, Toshinori Sato, Takenori Koushiro The potential in energy efficiency of a speculative chip-multiprocessor. Search on Bibsonomy SPAA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF dual pipeline, energy efficiency, speculative multithreading
16Magnus Ekman Strategies to Reduce Energy and Resources in Chip Multiprocessor Systems. Search on Bibsonomy 2004   RDF
16John Nickolls, L. J. Madar III, Scott Johnson, Viresh Rustagi, Ken Unger, Mustafiz Choudhury Calisto: A Low-Power Single-Chip Multiprocessor Communications Platform. Search on Bibsonomy IEEE Micro The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 503 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license