The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for cmps with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1988-2004 (18) 2005 (20) 2006 (38) 2007 (61) 2008 (58) 2009 (61) 2010 (43) 2011 (34) 2012 (24) 2013 (23) 2014 (19) 2015-2016 (33) 2017-2018 (20) 2019-2022 (16) 2023 (1)
Publication types (Num. hits)
article(100) inproceedings(365) phdthesis(4)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 391 occurrences of 212 keywords

Results
Found 469 publication records. Showing 469 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
19Nikita Nikitin, Magnus Jahre Patterned Heterogeneous CMPs: The Case for Regularity-Driven System-Level Synthesis. Search on Bibsonomy ISVLSI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Marta Ortín, Darío Suárez Gracia, María Villarroya-Gaudó, Cruz Izu, Víctor Viñals Dynamic construction of circuits for reactive traffic in homogeneous CMPs. Search on Bibsonomy DATE The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Hao Shen, Qinru Qiu Contention aware frequency scaling on CMPs with guaranteed quality of service. Search on Bibsonomy DATE The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Paolo Grani From hybrid electro-photonic to all-optical on-chip interconnections for future CMPs. Search on Bibsonomy HPCS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Paolo Grani, Sandro Bartolini Simultaneous Optical Path-Setup for Reconfigurable Photonic Networks in Tiled CMPs. Search on Bibsonomy HPCC/CSS/ICESS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Ricardo Fernández Pascual, Alberto Ros 0001, Manuel E. Acacio Characterization of a List-Based Directory Cache Coherence Protocol for Manycore CMPs. Search on Bibsonomy Euro-Par Workshops (2) The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Hanhua Chen, Qiong Hu, Hai Jin 0001 Incremental design of scalable wireless interconnection structure for CMPs. Search on Bibsonomy IWQoS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Mehdi Modarressi, Hamid Sarbazi-Azad A reconfigurable network-on-chip architecture for heterogeneous CMPs in the dark-silicon era. Search on Bibsonomy ASAP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Alexandra Ferrerón-Labari, Darío Suárez Gracia, Jesús Alastruey-Benedé, Teresa Monreal Arnal, Víctor Viñals Block Disabling Characterization and Improvements in CMPs Operating at Ultra-low Voltages. Search on Bibsonomy SBAC-PAD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Poona Bahrebar, Dirk Stroobandt Characterizing Traffic Locality in 3D NoC-Based CMPs Using a Path-Based Partitioning Method. Search on Bibsonomy Hot Interconnects The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Karthik Swaminathan, Huichu Liu, Jack Sampson, Vijaykrishnan Narayanan An examination of the architecture and system-level tradeoffs of employing steep slope devices in 3D CMPs. Search on Bibsonomy ISCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Hyunhee Kim, Jung Ho Ahn, Jihong Kim 0001 Exploiting Replicated Cache Blocks to Reduce L2 Cache Leakage in CMPs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Javier Lira, Carlos Molina, Ryan N. Rakvic, Antonio González 0001 Replacement techniques for dynamic NUCA cache designs on CMPs. Search on Bibsonomy J. Supercomput. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Ramon Bertran, Marc González 0001, Xavier Martorell, Nacho Navarro, Eduard Ayguadé A Systematic Methodology to Generate Decomposable and Responsive Power Models for CMPs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19José L. Abellán, Juan Fernández 0001, Manuel E. Acacio Design of an efficient communication infrastructure for highly contended locks in many-core CMPs. Search on Bibsonomy J. Parallel Distributed Comput. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Yong Li 0009, Rami G. Melhem, Alex K. Jones PS-TLB: Leveraging page classification information for fast, scalable and efficient translation for future CMPs. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Guangyu Sun 0003, Eren Kursun, Jude A. Rivers, Yuan Xie 0001 Exploring the vulnerability of CMPs to soft errors with 3D stacked nonvolatile memory. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Mehdi Modarressi, Marjan Asadinia, Hamid Sarbazi-Azad Using task migration to improve non-contiguous processor allocation in NoC-based CMPs. Search on Bibsonomy J. Syst. Archit. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19José L. Abellán, Alberto Ros 0001, Juan Fernández Peinador, Manuel E. Acacio ECONO: Express coherence notifications for efficient cache coherency in many-core CMPs. Search on Bibsonomy ICSAMOS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Pablo Abad Fidalgo, Pablo Prieto, Lucia G. Menezo, Adrian Colaso, Valentin Puente, José-Ángel Gregorio Interaction of NoC Design and Coherence Protocol in 3D-Stacked CMPs. Search on Bibsonomy DSD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Luka B. Daoud, Victor Goulart High Performance Bitwise OR Based Submesh Allocation for 2D Mesh-Connected CMPs. Search on Bibsonomy DSD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Hiroki Matsutani, Paul Bogdan, Radu Marculescu, Yasuhiro Take, Daisuke Sasaki, Hao Zhang 0020, Michihiro Koibuchi, Tadahiro Kuroda, Hideharu Amano A case for wireless 3D NoCs for CMPs. Search on Bibsonomy ASP-DAC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Abbas BanaiyanMofrad, Houam Homayoun, Vasileios Kontorinis, Dean M. Tullsen, Nikil D. Dutt REMEDIATE: A scalable fault-tolerant architecture for low-power NUCA cache in tiled CMPs. Search on Bibsonomy IGCC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19José L. Abellán, Alberto Ros 0001, Juan Fernández 0001, Manuel E. Acacio Efficient Dir0B Cache Coherency for Many-Core CMPs. Search on Bibsonomy ICCS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Ahmad Samih, Xiaowei Jiang, Liang Han, Yan Solihin Flexible Capacity Partitioning in Many-Core Tiled CMPs. Search on Bibsonomy CCGRID The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Ping-Sheng Lin, Yi-Jung Chen, Chia-Lin Yang, Yi-Chang Lu Exploring synergistic DVFS control of cores and DRAMs for thermal efficiency in CMPs with 3D-stacked DRAMs. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Yu-Ting Chen, Jason Cong, Mohammad Ali Ghodrat, Muhuan Huang, Chunyue Liu, Bingjun Xiao, Yi Zou Accelerator-rich CMPs: From concept to real hardware. Search on Bibsonomy ICCD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Fang Zheng, Chitra Venkatramani, Rohit Wagle, Karsten Schwan Cache Topology Aware Mapping of Stream Processing Applications onto CMPs. Search on Bibsonomy ICDCS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Mamata Dalui, Biplab K. Sikdar Design of directory based cache coherence protocol verification logic in CMPs around TACA. Search on Bibsonomy HPCS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Marta Ortín, Alexandra Ferreron, Jorge Albericio, Darío Suárez Gracia, María Villarroya-Gaudó, Cruz Izu, Víctor Viñals Characterization and cost-efficient selection of NoC topologies for general purpose CMPs. Search on Bibsonomy INA-OCMC@HiPEAC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19John Carpenter, Rami G. Melhem Deterministic Multiplexing of NoC on Grid CMPs. Search on Bibsonomy Hot Interconnects The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19José A. Joao, M. Aater Suleman, Onur Mutlu, Yale N. Patt Utility-based acceleration of multithreaded applications on asymmetric CMPs. Search on Bibsonomy ISCA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Sandro Bartolini, Paolo Grani Co-tuning of a hybrid electronic-optical network for reducing energy consumption in embedded CMPs. Search on Bibsonomy MES The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Mamata Dalui, Keshav Gupta, Biplab K. Sikdar Directory based cache coherence verification logic in CMPs cache system. Search on Bibsonomy MES The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Fei Hong, Aviral Shrivastava, Jongeun Lee Return Data Interleaving for Multi-Channel Embedded CMPs Systems. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
19Daniel Sánchez 0004, Juan L. Aragón, José M. García 0001 A fault-tolerant architecture for parallel applications in tiled-CMPs. Search on Bibsonomy J. Supercomput. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
19Stamatis G. Kavadias, Manolis Katevenis, Michail Zampetakis, Dimitrios S. Nikolopoulos Cache-Integrated Network Interfaces: Flexible On-Chip Communication and Synchronization for Large-Scale CMPs. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
19José L. Abellán, Juan Fernández 0001, Manuel E. Acacio Efficient Hardware Barrier Synchronization in Many-Core CMPs. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
19Guangyu Sun 0003, Huazhong Yang, Yuan Xie 0001 Performance/Thermal-Aware Design of 3D-Stacked L2 Caches for CMPs. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
19Kai Ma, Xiaorui Wang PGCapping: exploiting power gating for power capping and core lifetime balancing in CMPs. Search on Bibsonomy PACT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
19Karthik T. Sundararajan, Timothy M. Jones 0001, Nigel P. Topham Energy-efficient cache partitioning for future CMPs. Search on Bibsonomy PACT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
19Yong Li 0009, Rami G. Melhem, Alex K. Jones Practically private: enabling high performance CMPs through compiler-assisted data classification. Search on Bibsonomy PACT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
19Francisco Triviño, José L. Sánchez 0002, Francisco José Alfaro, José Flich Exploring NoC Virtualization Alternatives in CMPs. Search on Bibsonomy PDP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
19Sandro Bartolini, Paolo Grani A Simple On-Chip Optical Interconnection for Improving Performance of Coherency Traffic in CMPs. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
19Karthik T. Sundararajan, Vasileios Porpodas, Timothy M. Jones 0001, Nigel P. Topham, Björn Franke Cooperative partitioning: Energy-efficient cache partitioning for high-performance CMPs. Search on Bibsonomy HPCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
19Jinglei Wang, Dongsheng Wang 0002, Haixia Wang 0001, Yibo Xue Dynamic reusability-based replication with network address mapping in CMPs. Search on Bibsonomy ASP-DAC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
19Hiroki Matsutani, Yuto Hirata, Michihiro Koibuchi, Kimiyoshi Usami, Hiroshi Nakamura, Hideharu Amano A multi-Vdd dynamic variable-pipeline on-chip router for CMPs. Search on Bibsonomy ASP-DAC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
19Josué Feliu, Julio Sahuquillo, Salvador Petit, José Duato Understanding Cache Hierarchy Contention in CMPs to Improve Job Scheduling. Search on Bibsonomy IPDPS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
19Jingtong Hu, Qingfeng Zhuge, Chun Jason Xue, Wei-Che Tseng, Edwin Hsing-Mean Sha Optimizing Data Allocation and Memory Configuration for Non-Volatile Memory Based Hybrid SPM on Embedded CMPs. Search on Bibsonomy IPDPS Workshops The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
19Abbas BanaiyanMofrad, Gustavo Girão, Nikil D. Dutt A novel NoC-based design for fault-tolerance of last-level caches in CMPs. Search on Bibsonomy CODES+ISSS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
19Ankit More, Baris Taskin A locality-aware bi-level mesh-mesh 2d-noc architecture for future thousand core CMPs. Search on Bibsonomy SLIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
19Jason Cong, Mohammad Ali Ghodrat, Michael Gill, Chunyue Liu, Glenn Reinman BiN: a buffer-in-NUCA scheme for accelerator-rich CMPs. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
19Da Cheng, Sandeep K. Gupta 0001 A systematic methodology to improve yield per area of highly-parallel CMPs. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
19Alberto Ros 0001, Ricardo Fernández Pascual, Manuel E. Acacio Using Heterogeneous Networks to Improve Energy Efficiency in Direct Coherence Protocols for Many-Core CMPs. Search on Bibsonomy SBAC-PAD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
19Mamata Dalui, Biplab K. Sikdar An Efficient Test Design for CMPs Cache Coherence Realizing MESI Protocol. Search on Bibsonomy VDAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
19Adwait Jog, Asit K. Mishra, Cong Xu, Yuan Xie 0001, Vijaykrishnan Narayanan, Ravishankar R. Iyer 0001, Chita R. Das Cache revive: architecting volatile STT-RAM caches for enhanced performance in CMPs. Search on Bibsonomy DAC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
19Jason Cong, Mohammad Ali Ghodrat, Michael Gill, Beayna Grigorian, Glenn Reinman Architecture support for accelerator-rich CMPs. Search on Bibsonomy DAC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
19Abbas BanaiyanMofrad Reliable On-Chip Memory Design for CMPs. Search on Bibsonomy SRDS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
19Xiaomin Jia, Jiang Jiang, Yongwen Wang, Shubo Qi, Tianlei Zhao, Guitao Fu, Minxuan Zhang BP-NUCA: Cache Pressure-Aware Migration for High-Performance Caching in CMPs. Search on Bibsonomy Comput. Informatics The full citation details ... 2011 DBLP  BibTeX  RDF
19Isao Kotera, Kenta Abe, Ryusuke Egawa, Hiroyuki Takizawa, Hiroaki Kobayashi Power-Aware Dynamic Cache Partitioning for CMPs. Search on Bibsonomy Trans. High Perform. Embed. Archit. Compil. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19Junli Gu, Yihe Sun, Steven S. Lumetta, Rakesh Kumar 0002 MOPED: Accelerating Data Communication on Future CMPs. Search on Bibsonomy IEEE Micro The full citation details ... 2011 DBLP  DOI  BibTeX  RDF data communication, Multicore architecture, cache hierarchy
19Christian Fensch, Marcelo Cintra An Evaluation of an OS-Based Coherence Scheme for Tiled CMPs. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19Shantanu Gupta, Shuguang Feng, Amin Ansari, Scott A. Mahlke StageNet: A Reconfigurable Fabric for Constructing Dependable CMPs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19Carles Hernández 0001, Antoni Roca 0001, José Flich, Federico Silla, José Duato Characterizing the impact of process variation on 45 nm NoC-based CMPs. Search on Bibsonomy J. Parallel Distributed Comput. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19Ana Bosque, Víctor Viñals, Pablo Ibáñez, José M. Llabería Filtering directory lookups in CMPs. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19Hiroki Matsutani, Michihiro Koibuchi, Daisuke Ikebuchi, Kimiyoshi Usami, Hiroshi Nakamura, Hideharu Amano Performance, Area, and Power Evaluations of Ultrafine-Grained Run-Time Power-Gating Routers for CMPs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19Daniel Sánchez 0003, Christos Kozyrakis A few ways can take you a long way: Efficient and highly associative caches with scalable partitioning for many-core CMPs. Search on Bibsonomy Hot Chips Symposium The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19Hiroki Matsutani, Yasuhiro Take, Daisuke Sasaki, Masayuki Kimura, Yuki Ono, Yukinori Nishiyama, Michihiro Koibuchi, Tadahiro Kuroda, Hideharu Amano A vertical bubble flow network using inductive-coupling for 3-D CMPs. Search on Bibsonomy NOCS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19Jianhua Li 0003, Chun Jason Xue, Yinlong Xu STT-RAM based energy-efficiency hybrid cache for CMPs. Search on Bibsonomy VLSI-SoC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19Marjan Asadinia, Mehdi Modarressi, Arash Tavakkol, Hamid Sarbazi-Azad Supporting non-contiguous processor allocation in mesh-based CMPs using virtual point-to-point links. Search on Bibsonomy DATE The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19Alessandro Bardine, Pierfrancesco Foglia, Francesco Panicucci, Marco Solinas, Julio Sahuquillo Energy Behaviour of NUCA Caches in CMPs. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19Luka B. Daoud, Mohamed El-Sayed Ragab, Victor Goulart Faster Processor Allocation Algorithms for Mesh-Connected CMPs. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19Xiaowei Jiang, Asit K. Mishra, Li Zhao 0002, Ravishankar R. Iyer 0001, Zhen Fang, Sadagopan Srinivasan, Srihari Makineni, Paul Brett, Chita R. Das ACCESS: Smart scheduling for asymmetric cache CMPs. Search on Bibsonomy HPCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19Junli Gu, Steven S. Lumetta, Rakesh Kumar 0002, Yihe Sun MOPED: Orchestrating interprocess message data on CMPs. Search on Bibsonomy HPCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19Jinglei Wang, Dongsheng Wang 0002, Haixia Wang 0001, Yibo Xue High performance cache block replication using re-reference probability in CMPs. Search on Bibsonomy HiPC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19Andrea Pellegrini, Valeria Bertacco Cardio: Adaptive CMPs for reliability through dynamic introspective operation. Search on Bibsonomy HLDVT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19Young-Sik Eom, Seong Tae Jhang, Chu-Shik Jhon Throttling capacity sharing in private L2 caches of CMPs. Search on Bibsonomy RACS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19Ana Bosque, Víctor Viñals, Pablo Ibáñez, José María Llabería Filtering Directory Lookups in CMPs with Write-Through Caches. Search on Bibsonomy Euro-Par (1) The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19Juan M. Cebrian, Juan L. Aragón, Stefanos Kaxiras Token3D: Reducing Temperature in 3D Die-Stacked CMPs through Cycle-Level Power Control Mechanisms. Search on Bibsonomy Euro-Par (1) The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19Sadagopan Srinivasan, Ravishankar R. Iyer 0001, Li Zhao 0002, Ramesh Illikkal HeteroScouts: hardware assist for OS scheduling in heterogeneous CMPs. Search on Bibsonomy SIGMETRICS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19José L. Abellán, Juan Fernández 0001, Manuel E. Acacio GLocks: Efficient Support for Highly-Contended Locks in Many-Core CMPs. Search on Bibsonomy IPDPS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19Juan M. Cebrian, Juan L. Aragón, Stefanos Kaxiras Power Token Balancing: Adapting CMPs to Power Constraints for Parallel Multithreaded Workloads. Search on Bibsonomy IPDPS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19Zhen Fang, Li Zhao 0002, Ravishankar R. Iyer 0001, Carlos Flores Fajardo, German Fabila Garcia, Seung Eun Lee, Bin Li 0018, Steve R. King, Xiaowei Jiang, Srihari Makineni Cost-effectively offering private buffers in SoCs and CMPs. Search on Bibsonomy ICS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19Yi Xu, Yu Du, Youtao Zhang, Jun Yang 0002 A composite and scalable cache coherence protocol for large scale CMPs. Search on Bibsonomy ICS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19Zhimin Gu, Yinxia Fu, Ninghan Zheng, Jianxun Zhang, Min Cai, Yan Huang 0011, Jie Tang 0003 Improving Performance of the Irregular Data Intensive Application with Small Computation Workload for CMPs. Search on Bibsonomy ICPP Workshops The full citation details ... 2011 DBLP  DOI  BibTeX  RDF CMP, Helper thread, Irregular computing
19Guangyu Sun 0003, Eren Kursun, Jude A. Rivers, Yuan Xie 0001 Exploring the vulnerability of CMPs to soft errors with 3D stacked non-volatile memory. Search on Bibsonomy ICCD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19Rance Rodrigues, Israel Koren, Sandip Kundu An Architecture to Enable Life Cycle Testing in CMPs. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19Carles Hernández 0001, Federico Silla, José Duato Energy and Performance Efficient Thread Mapping in NoC-Based CMPs under Process Variations. Search on Bibsonomy ICPP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19Lluc Alvarez, Ramon Bertran, Marc González 0001, Xavier Martorell, Nacho Navarro, Eduard Ayguadé Design space exploration for aggressive core replication schemes in CMPs. Search on Bibsonomy HPDC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19Mamata Dalui, Biplab K. Sikdar An Efficient Test Design for Verification of Cache Coherence in CMPs. Search on Bibsonomy DASC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19Asit K. Mishra, Narayanan Vijaykrishnan, Chita R. Das A case for heterogeneous on-chip interconnects for CMPs. Search on Bibsonomy ISCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19Asit K. Mishra, Xiangyu Dong, Guangyu Sun 0003, Yuan Xie 0001, Narayanan Vijaykrishnan, Chita R. Das Architecting on-chip interconnects for stacked 3D STT-RAM caches in CMPs. Search on Bibsonomy ISCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19Xiaomin Jia, Pingjing Lu, Caixia Sun, Minxuan Zhang Dynamic Program Behavior Identification for High Performance CMPs with Private LLCs. Search on Bibsonomy IEICE Trans. Inf. Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
19Antonio Flores, Juan L. Aragón, Manuel E. Acacio Heterogeneous Interconnects for Energy-Efficient Message Management in CMPs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Tiled chip multiprocessor, heterogeneous on-chip interconnection network, cache coherence protocol, energy-efficient architectures, parallel scientific applications
19Ricardo Fernández Pascual, José M. García 0001, Manuel E. Acacio, José Duato Dealing with Transient Faults in the Interconnection Network of CMPs at the Cache Coherence Level. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF fault tolerance, interconnection network, cache coherence, transient faults
19Antonio Flores, Manuel E. Acacio, Juan L. Aragón Exploiting address compression and heterogeneous interconnects for efficient message management in tiled CMPs. Search on Bibsonomy J. Syst. Archit. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
19Hiroki Matsutani, Michihiro Koibuchi, Daisuke Ikebuchi, Kimiyoshi Usami, Hiroshi Nakamura, Hideharu Amano Ultra Fine-Grained Run-Time Power Gating of On-chip Routers for CMPs. Search on Bibsonomy NOCS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF low power, Network-on-Chip, router, power gating
19Felipe Cabarcas, Alejandro Rico, Yoav Etsion, Alex Ramírez Interleaving granularity on high bandwidth memory architecture for CMPs. Search on Bibsonomy ICSAMOS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
19Kamil Kedzierski, Francisco J. Cazorla, Roberto Gioiosa, Alper Buyuktosunoglu, Mateo Valero Power and performance aware reconfigurable cache for CMPs. Search on Bibsonomy IFMT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
19Antonio Flores, Juan L. Aragón, Manuel E. Acacio Energy-Efficient Hardware Prefetching for CMPs Using Heterogeneous Interconnects. Search on Bibsonomy PDP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF tiled chip-multiprocessor, heterogeneous on-chip interconnection network, prefetching, energy-efficient architectures, parallel scientific applications
Displaying result #201 - #300 of 469 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license