Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
19 | Nikita Nikitin, Magnus Jahre |
Patterned Heterogeneous CMPs: The Case for Regularity-Driven System-Level Synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISVLSI ![In: IEEE Computer Society Annual Symposium on VLSI, ISVLSI 2014, Tampa, FL, USA, July 9-11, 2014, pp. 172-177, 2014, IEEE Computer Society, 978-1-4799-3763-9. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
19 | Marta Ortín, Darío Suárez Gracia, María Villarroya-Gaudó, Cruz Izu, Víctor Viñals |
Dynamic construction of circuits for reactive traffic in homogeneous CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Design, Automation & Test in Europe Conference & Exhibition, DATE 2014, Dresden, Germany, March 24-28, 2014, pp. 1-4, 2014, European Design and Automation Association, 978-3-9815370-2-4. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
19 | Hao Shen, Qinru Qiu |
Contention aware frequency scaling on CMPs with guaranteed quality of service. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Design, Automation & Test in Europe Conference & Exhibition, DATE 2014, Dresden, Germany, March 24-28, 2014, pp. 1-6, 2014, European Design and Automation Association, 978-3-9815370-2-4. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
19 | Paolo Grani |
From hybrid electro-photonic to all-optical on-chip interconnections for future CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCS ![In: International Conference on High Performance Computing & Simulation, HPCS 2014, Bologna, Italy, 21-25 July, 2014, pp. 999-1001, 2014, IEEE, 978-1-4799-5312-7. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
19 | Paolo Grani, Sandro Bartolini |
Simultaneous Optical Path-Setup for Reconfigurable Photonic Networks in Tiled CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCC/CSS/ICESS ![In: 2014 IEEE International Conference on High Performance Computing and Communications, 6th IEEE International Symposium on Cyberspace Safety and Security, 11th IEEE International Conference on Embedded Software and Systems, HPCC/CSS/ICESS 2014, Paris, France, August 20-22, 2014, pp. 482-485, 2014, IEEE, 978-1-4799-6123-8. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
19 | Ricardo Fernández Pascual, Alberto Ros 0001, Manuel E. Acacio |
Characterization of a List-Based Directory Cache Coherence Protocol for Manycore CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par Workshops (2) ![In: Euro-Par 2014: Parallel Processing Workshops - Euro-Par 2014 International Workshops, Porto, Portugal, August 25-26, 2014, Revised Selected Papers, Part II, pp. 254-265, 2014, Springer, 978-3-319-14312-5. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
19 | Hanhua Chen, Qiong Hu, Hai Jin 0001 |
Incremental design of scalable wireless interconnection structure for CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IWQoS ![In: IEEE 22nd International Symposium of Quality of Service, IWQoS 2014, Hong Kong, China, May 26-27, 2014, pp. 296-301, 2014, IEEE. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
19 | Mehdi Modarressi, Hamid Sarbazi-Azad |
A reconfigurable network-on-chip architecture for heterogeneous CMPs in the dark-silicon era. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASAP ![In: IEEE 25th International Conference on Application-Specific Systems, Architectures and Processors, ASAP 2014, Zurich, Switzerland, June 18-20, 2014, pp. 76-77, 2014, IEEE Computer Society, 978-1-4799-3609-0. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
19 | Alexandra Ferrerón-Labari, Darío Suárez Gracia, Jesús Alastruey-Benedé, Teresa Monreal Arnal, Víctor Viñals |
Block Disabling Characterization and Improvements in CMPs Operating at Ultra-low Voltages. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SBAC-PAD ![In: 26th IEEE International Symposium on Computer Architecture and High Performance Computing, SBAC-PAD 2014, Paris, France, October 22-24, 2014, pp. 238-245, 2014, IEEE Computer Society, 978-1-4799-6904-3. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
19 | Poona Bahrebar, Dirk Stroobandt |
Characterizing Traffic Locality in 3D NoC-Based CMPs Using a Path-Based Partitioning Method. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Hot Interconnects ![In: 22nd IEEE Annual Symposium on High-Performance Interconnects, HOTI 2014, Mountain View, CA, USA, August 26-28, 2014, pp. 63-70, 2014, IEEE Computer Society, 978-1-4799-5860-3. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
19 | Karthik Swaminathan, Huichu Liu, Jack Sampson, Vijaykrishnan Narayanan |
An examination of the architecture and system-level tradeoffs of employing steep slope devices in 3D CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: ACM/IEEE 41st International Symposium on Computer Architecture, ISCA 2014, Minneapolis, MN, USA, June 14-18, 2014, pp. 241-252, 2014, IEEE Computer Society, 978-1-4799-4396-8. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
19 | Hyunhee Kim, Jung Ho Ahn, Jihong Kim 0001 |
Exploiting Replicated Cache Blocks to Reduce L2 Cache Leakage in CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 21(10), pp. 1863-1877, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
19 | Javier Lira, Carlos Molina, Ryan N. Rakvic, Antonio González 0001 |
Replacement techniques for dynamic NUCA cache designs on CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Supercomput. ![In: J. Supercomput. 64(2), pp. 548-579, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
19 | Ramon Bertran, Marc González 0001, Xavier Martorell, Nacho Navarro, Eduard Ayguadé |
A Systematic Methodology to Generate Decomposable and Responsive Power Models for CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 62(7), pp. 1289-1302, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
19 | José L. Abellán, Juan Fernández 0001, Manuel E. Acacio |
Design of an efficient communication infrastructure for highly contended locks in many-core CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Parallel Distributed Comput. ![In: J. Parallel Distributed Comput. 73(7), pp. 972-985, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
19 | Yong Li 0009, Rami G. Melhem, Alex K. Jones |
PS-TLB: Leveraging page classification information for fast, scalable and efficient translation for future CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Archit. Code Optim. ![In: ACM Trans. Archit. Code Optim. 9(4), pp. 28:1-28:21, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
19 | Guangyu Sun 0003, Eren Kursun, Jude A. Rivers, Yuan Xie 0001 |
Exploring the vulnerability of CMPs to soft errors with 3D stacked nonvolatile memory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM J. Emerg. Technol. Comput. Syst. ![In: ACM J. Emerg. Technol. Comput. Syst. 9(3), pp. 22:1-22:22, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
19 | Mehdi Modarressi, Marjan Asadinia, Hamid Sarbazi-Azad |
Using task migration to improve non-contiguous processor allocation in NoC-based CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Syst. Archit. ![In: J. Syst. Archit. 59(7), pp. 468-481, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
19 | José L. Abellán, Alberto Ros 0001, Juan Fernández Peinador, Manuel E. Acacio |
ECONO: Express coherence notifications for efficient cache coherency in many-core CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICSAMOS ![In: 2013 International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation, SAMOS 2013, Agios Konstantinos, Samos Island, Greece, July 15-18, 2013, pp. 237-244, 2013, IEEE. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
19 | Pablo Abad Fidalgo, Pablo Prieto, Lucia G. Menezo, Adrian Colaso, Valentin Puente, José-Ángel Gregorio |
Interaction of NoC Design and Coherence Protocol in 3D-Stacked CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DSD ![In: 2013 Euromicro Conference on Digital System Design, DSD 2013, Los Alamitos, CA, USA, September 4-6, 2013, pp. 48-55, 2013, IEEE Computer Society, 978-1-4799-2978-8. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
19 | Luka B. Daoud, Victor Goulart |
High Performance Bitwise OR Based Submesh Allocation for 2D Mesh-Connected CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DSD ![In: 2013 Euromicro Conference on Digital System Design, DSD 2013, Los Alamitos, CA, USA, September 4-6, 2013, pp. 73-77, 2013, IEEE Computer Society, 978-1-4799-2978-8. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
19 | Hiroki Matsutani, Paul Bogdan, Radu Marculescu, Yasuhiro Take, Daisuke Sasaki, Hao Zhang 0020, Michihiro Koibuchi, Tadahiro Kuroda, Hideharu Amano |
A case for wireless 3D NoCs for CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: 18th Asia and South Pacific Design Automation Conference, ASP-DAC 2013, Yokohama, Japan, January 22-25, 2013, pp. 23-28, 2013, IEEE, 978-1-4673-3029-9. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
19 | Abbas BanaiyanMofrad, Houam Homayoun, Vasileios Kontorinis, Dean M. Tullsen, Nikil D. Dutt |
REMEDIATE: A scalable fault-tolerant architecture for low-power NUCA cache in tiled CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IGCC ![In: International Green Computing Conference, IGCC 2013, Arlington, VA, USA, June 27-29, 2013, Proceedings, pp. 1-10, 2013, IEEE Computer Society, 978-1-4799-0623-9. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
19 | José L. Abellán, Alberto Ros 0001, Juan Fernández 0001, Manuel E. Acacio |
Efficient Dir0B Cache Coherency for Many-Core CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCS ![In: Conceptual Structures for STEM Research and Education, 20th International Conference on Conceptual Structures, ICCS 2013, Mumbai, India, January 10-12, 2013. Proceedings, pp. 2545-2548, 2013, Springer, 978-3-642-35785-5. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
19 | Ahmad Samih, Xiaowei Jiang, Liang Han, Yan Solihin |
Flexible Capacity Partitioning in Many-Core Tiled CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CCGRID ![In: 13th IEEE/ACM International Symposium on Cluster, Cloud, and Grid Computing, CCGrid 2013, Delft, Netherlands, May 13-16, 2013, pp. 490-497, 2013, IEEE Computer Society, 978-1-4673-6465-2. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
19 | Ping-Sheng Lin, Yi-Jung Chen, Chia-Lin Yang, Yi-Chang Lu |
Exploring synergistic DVFS control of cores and DRAMs for thermal efficiency in CMPs with 3D-stacked DRAMs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: International Symposium on Low Power Electronics and Design (ISLPED), Beijing, China, September 4-6, 2013, pp. 304, 2013, IEEE, 978-1-4799-1235-3. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
19 | Yu-Ting Chen, Jason Cong, Mohammad Ali Ghodrat, Muhuan Huang, Chunyue Liu, Bingjun Xiao, Yi Zou |
Accelerator-rich CMPs: From concept to real hardware. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 2013 IEEE 31st International Conference on Computer Design, ICCD 2013, Asheville, NC, USA, October 6-9, 2013, pp. 169-176, 2013, IEEE Computer Society, 978-1-4799-2987-0. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
19 | Fang Zheng, Chitra Venkatramani, Rohit Wagle, Karsten Schwan |
Cache Topology Aware Mapping of Stream Processing Applications onto CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDCS ![In: IEEE 33rd International Conference on Distributed Computing Systems, ICDCS 2013, 8-11 July, 2013, Philadelphia, Pennsylvania, USA, pp. 52-61, 2013, IEEE Computer Society, 978-0-7695-5000-8. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
19 | Mamata Dalui, Biplab K. Sikdar |
Design of directory based cache coherence protocol verification logic in CMPs around TACA. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCS ![In: International Conference on High Performance Computing & Simulation, HPCS 2013, Helsinki, Finland, July 1-5, 2013, pp. 318-325, 2013, IEEE, 978-1-4799-0836-3. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
19 | Marta Ortín, Alexandra Ferreron, Jorge Albericio, Darío Suárez Gracia, María Villarroya-Gaudó, Cruz Izu, Víctor Viñals |
Characterization and cost-efficient selection of NoC topologies for general purpose CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
INA-OCMC@HiPEAC ![In: Proceedings of the 2013 Interconnection Network Architecture: On-Chip, Multi-Chip, IMA-OCMC@HiPEAC 2013, Berlin, Germany, January 23, 2013, pp. 21-24, 2013, ACM, 978-1-4503-1784-9. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
19 | John Carpenter, Rami G. Melhem |
Deterministic Multiplexing of NoC on Grid CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Hot Interconnects ![In: IEEE 21st Annual Symposium on High-Performance Interconnects, HOTI 2013, Santa Clara, CA, USA, August 21-23, 2013, pp. 1-8, 2013, IEEE Computer Society, 978-0-7695-5103-6. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
19 | José A. Joao, M. Aater Suleman, Onur Mutlu, Yale N. Patt |
Utility-based acceleration of multithreaded applications on asymmetric CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: The 40th Annual International Symposium on Computer Architecture, ISCA'13, Tel-Aviv, Israel, June 23-27, 2013, pp. 154-165, 2013, ACM, 978-1-4503-2079-5. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
19 | Sandro Bartolini, Paolo Grani |
Co-tuning of a hybrid electronic-optical network for reducing energy consumption in embedded CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MES ![In: Proceedings of the 1st International Workshop on Many-core Embedded Systems 2013, MES'2013, Held in conjunction with the 40th Annual IEEE/ACM International Symposium on Computer Architecture, ISCA 2013, June 24, 2013., pp. 9-16, 2013, ACM, 978-1-4503-2063-4. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
19 | Mamata Dalui, Keshav Gupta, Biplab K. Sikdar |
Directory based cache coherence verification logic in CMPs cache system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MES ![In: Proceedings of the 1st International Workshop on Many-core Embedded Systems 2013, MES'2013, Held in conjunction with the 40th Annual IEEE/ACM International Symposium on Computer Architecture, ISCA 2013, June 24, 2013., pp. 33-40, 2013, ACM, 978-1-4503-2063-4. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
19 | Fei Hong, Aviral Shrivastava, Jongeun Lee |
Return Data Interleaving for Multi-Channel Embedded CMPs Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 20(7), pp. 1351-1354, 2012. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
19 | Daniel Sánchez 0004, Juan L. Aragón, José M. García 0001 |
A fault-tolerant architecture for parallel applications in tiled-CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Supercomput. ![In: J. Supercomput. 61(3), pp. 997-1023, 2012. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
19 | Stamatis G. Kavadias, Manolis Katevenis, Michail Zampetakis, Dimitrios S. Nikolopoulos |
Cache-Integrated Network Interfaces: Flexible On-Chip Communication and Synchronization for Large-Scale CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Parallel Program. ![In: Int. J. Parallel Program. 40(6), pp. 583-604, 2012. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
19 | José L. Abellán, Juan Fernández 0001, Manuel E. Acacio |
Efficient Hardware Barrier Synchronization in Many-Core CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 23(8), pp. 1453-1466, 2012. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
19 | Guangyu Sun 0003, Huazhong Yang, Yuan Xie 0001 |
Performance/Thermal-Aware Design of 3D-Stacked L2 Caches for CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 17(2), pp. 13:1-13:20, 2012. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
19 | Kai Ma, Xiaorui Wang |
PGCapping: exploiting power gating for power capping and core lifetime balancing in CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PACT ![In: International Conference on Parallel Architectures and Compilation Techniques, PACT '12, Minneapolis, MN, USA - September 19 - 23, 2012, pp. 13-22, 2012, ACM, 978-1-4503-1182-3. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
19 | Karthik T. Sundararajan, Timothy M. Jones 0001, Nigel P. Topham |
Energy-efficient cache partitioning for future CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PACT ![In: International Conference on Parallel Architectures and Compilation Techniques, PACT '12, Minneapolis, MN, USA - September 19 - 23, 2012, pp. 465-466, 2012, ACM, 978-1-4503-1182-3. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
19 | Yong Li 0009, Rami G. Melhem, Alex K. Jones |
Practically private: enabling high performance CMPs through compiler-assisted data classification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PACT ![In: International Conference on Parallel Architectures and Compilation Techniques, PACT '12, Minneapolis, MN, USA - September 19 - 23, 2012, pp. 231-240, 2012, ACM, 978-1-4503-1182-3. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
19 | Francisco Triviño, José L. Sánchez 0002, Francisco José Alfaro, José Flich |
Exploring NoC Virtualization Alternatives in CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDP ![In: Proceedings of the 20th Euromicro International Conference on Parallel, Distributed and Network-Based Processing, PDP 2012, Munich, Germany, February 15-17, 2012, pp. 473-482, 2012, IEEE, 978-1-4673-0226-5. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
19 | Sandro Bartolini, Paolo Grani |
A Simple On-Chip Optical Interconnection for Improving Performance of Coherency Traffic in CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DSD ![In: 15th Euromicro Conference on Digital System Design, DSD 2012, Cesme, Izmir, Turkey, September 5-8, 2012, pp. 312-318, 2012, IEEE Computer Society, 978-1-4673-2498-4. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
19 | Karthik T. Sundararajan, Vasileios Porpodas, Timothy M. Jones 0001, Nigel P. Topham, Björn Franke |
Cooperative partitioning: Energy-efficient cache partitioning for high-performance CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: 18th IEEE International Symposium on High Performance Computer Architecture, HPCA 2012, New Orleans, LA, USA, 25-29 February, 2012, pp. 311-322, 2012, IEEE Computer Society, 978-1-4673-0827-4. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
19 | Jinglei Wang, Dongsheng Wang 0002, Haixia Wang 0001, Yibo Xue |
Dynamic reusability-based replication with network address mapping in CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 17th Asia and South Pacific Design Automation Conference, ASP-DAC 2012, Sydney, Australia, January 30 - February 2, 2012, pp. 487-492, 2012, IEEE, 978-1-4673-0770-3. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
19 | Hiroki Matsutani, Yuto Hirata, Michihiro Koibuchi, Kimiyoshi Usami, Hiroshi Nakamura, Hideharu Amano |
A multi-Vdd dynamic variable-pipeline on-chip router for CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 17th Asia and South Pacific Design Automation Conference, ASP-DAC 2012, Sydney, Australia, January 30 - February 2, 2012, pp. 407-412, 2012, IEEE, 978-1-4673-0770-3. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
19 | Josué Feliu, Julio Sahuquillo, Salvador Petit, José Duato |
Understanding Cache Hierarchy Contention in CMPs to Improve Job Scheduling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 26th IEEE International Parallel and Distributed Processing Symposium, IPDPS 2012, Shanghai, China, May 21-25, 2012, pp. 508-519, 2012, IEEE Computer Society, 978-1-4673-0975-2. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
19 | Jingtong Hu, Qingfeng Zhuge, Chun Jason Xue, Wei-Che Tseng, Edwin Hsing-Mean Sha |
Optimizing Data Allocation and Memory Configuration for Non-Volatile Memory Based Hybrid SPM on Embedded CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS Workshops ![In: 26th IEEE International Parallel and Distributed Processing Symposium Workshops & PhD Forum, IPDPS 2012, Shanghai, China, May 21-25, 2012, pp. 982-989, 2012, IEEE Computer Society, 978-1-4673-0974-5. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
19 | Abbas BanaiyanMofrad, Gustavo Girão, Nikil D. Dutt |
A novel NoC-based design for fault-tolerance of last-level caches in CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CODES+ISSS ![In: Proceedings of the 10th International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2012, part of ESWeek '12 Eighth Embedded Systems Week, Tampere, Finland, October 7-12, 2012, pp. 63-72, 2012, ACM, 978-1-4503-1426-8. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
19 | Ankit More, Baris Taskin |
A locality-aware bi-level mesh-mesh 2d-noc architecture for future thousand core CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SLIP ![In: International Workshop on System Level Interconnect Prediction, SLIP '12, San Francisco, CA, USA, June 3, 2012, pp. 22, 2012, ACM, 978-1-4503-1437-4. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
19 | Jason Cong, Mohammad Ali Ghodrat, Michael Gill, Chunyue Liu, Glenn Reinman |
BiN: a buffer-in-NUCA scheme for accelerator-rich CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: International Symposium on Low Power Electronics and Design, ISLPED'12, Redondo Beach, CA, USA - July 30 - August 01, 2012, pp. 225-230, 2012, ACM, 978-1-4503-1249-3. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
19 | Da Cheng, Sandeep K. Gupta 0001 |
A systematic methodology to improve yield per area of highly-parallel CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DFT ![In: 2012 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, DFT 2012, Austin, TX, USA, October 3-5, 2012, pp. 126-133, 2012, IEEE Computer Society, 978-1-4673-3043-5. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
19 | Alberto Ros 0001, Ricardo Fernández Pascual, Manuel E. Acacio |
Using Heterogeneous Networks to Improve Energy Efficiency in Direct Coherence Protocols for Many-Core CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SBAC-PAD ![In: IEEE 24th International Symposium on Computer Architecture and High Performance Computing, SBAC-PAD 2012, New York, NY, USA, October 24-26, 2012, pp. 43-50, 2012, IEEE Computer Society, 978-1-4673-4790-7. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
19 | Mamata Dalui, Biplab K. Sikdar |
An Efficient Test Design for CMPs Cache Coherence Realizing MESI Protocol. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VDAT ![In: Progress in VLSI Design and Test - 16th International Symposium, VDAT 2012, Shibpur, India, July 1-4, 2012. Proceedings, pp. 89-98, 2012, Springer, 978-3-642-31493-3. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
19 | Adwait Jog, Asit K. Mishra, Cong Xu, Yuan Xie 0001, Vijaykrishnan Narayanan, Ravishankar R. Iyer 0001, Chita R. Das |
Cache revive: architecting volatile STT-RAM caches for enhanced performance in CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: The 49th Annual Design Automation Conference 2012, DAC '12, San Francisco, CA, USA, June 3-7, 2012, pp. 243-252, 2012, ACM, 978-1-4503-1199-1. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
19 | Jason Cong, Mohammad Ali Ghodrat, Michael Gill, Beayna Grigorian, Glenn Reinman |
Architecture support for accelerator-rich CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: The 49th Annual Design Automation Conference 2012, DAC '12, San Francisco, CA, USA, June 3-7, 2012, pp. 843-849, 2012, ACM, 978-1-4503-1199-1. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
19 | Abbas BanaiyanMofrad |
Reliable On-Chip Memory Design for CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SRDS ![In: IEEE 31st Symposium on Reliable Distributed Systems, SRDS 2012, Irvine, CA, USA, October 8-11, 2012, pp. 487-488, 2012, IEEE Computer Society, 978-1-4673-2397-0. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
19 | Xiaomin Jia, Jiang Jiang, Yongwen Wang, Shubo Qi, Tianlei Zhao, Guitao Fu, Minxuan Zhang |
BP-NUCA: Cache Pressure-Aware Migration for High-Performance Caching in CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. Informatics ![In: Comput. Informatics 30(5), pp. 1037-1060, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP BibTeX RDF |
|
19 | Isao Kotera, Kenta Abe, Ryusuke Egawa, Hiroyuki Takizawa, Hiroaki Kobayashi |
Power-Aware Dynamic Cache Partitioning for CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Trans. High Perform. Embed. Archit. Compil. ![In: Transactions on High-Performance Embedded Architectures and Compilers III, pp. 135-153, 2011, Springer, 978-3-642-19447-4. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
19 | Junli Gu, Yihe Sun, Steven S. Lumetta, Rakesh Kumar 0002 |
MOPED: Accelerating Data Communication on Future CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Micro ![In: IEEE Micro 31(4), pp. 42-50, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
data communication, Multicore architecture, cache hierarchy |
19 | Christian Fensch, Marcelo Cintra |
An Evaluation of an OS-Based Coherence Scheme for Tiled CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Parallel Program. ![In: Int. J. Parallel Program. 39(3), pp. 271-295, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
19 | Shantanu Gupta, Shuguang Feng, Amin Ansari, Scott A. Mahlke |
StageNet: A Reconfigurable Fabric for Constructing Dependable CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 60(1), pp. 5-19, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
19 | Carles Hernández 0001, Antoni Roca 0001, José Flich, Federico Silla, José Duato |
Characterizing the impact of process variation on 45 nm NoC-based CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Parallel Distributed Comput. ![In: J. Parallel Distributed Comput. 71(5), pp. 651-663, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
19 | Ana Bosque, Víctor Viñals, Pablo Ibáñez, José M. Llabería |
Filtering directory lookups in CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Microprocess. Microsystems ![In: Microprocess. Microsystems 35(8), pp. 695-707, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
19 | Hiroki Matsutani, Michihiro Koibuchi, Daisuke Ikebuchi, Kimiyoshi Usami, Hiroshi Nakamura, Hideharu Amano |
Performance, Area, and Power Evaluations of Ultrafine-Grained Run-Time Power-Gating Routers for CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 30(4), pp. 520-533, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
19 | Daniel Sánchez 0003, Christos Kozyrakis |
A few ways can take you a long way: Efficient and highly associative caches with scalable partitioning for many-core CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Hot Chips Symposium ![In: 2011 IEEE Hot Chips 23 Symposium (HCS), Stanford, CA, USA, August 17-19, 2011, pp. 1, 2011, IEEE, 978-1-4673-8877-1. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
19 | Hiroki Matsutani, Yasuhiro Take, Daisuke Sasaki, Masayuki Kimura, Yuki Ono, Yukinori Nishiyama, Michihiro Koibuchi, Tadahiro Kuroda, Hideharu Amano |
A vertical bubble flow network using inductive-coupling for 3-D CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NOCS ![In: NOCS 2011, Fifth ACM/IEEE International Symposium on Networks-on-Chip, Pittsburgh, Pennsylvania, USA, May 1-4, 2011, pp. 49-56, 2011, ACM/IEEE Computer Society, 978-1-4503-0720-8. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
19 | Jianhua Li 0003, Chun Jason Xue, Yinlong Xu |
STT-RAM based energy-efficiency hybrid cache for CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI-SoC ![In: IEEE/IFIP 19th International Conference on VLSI and System-on-Chip, VLSI-SoC 2011, Kowloon, Hong Kong, China, October 3-5, 2011, pp. 31-36, 2011, IEEE, 978-1-4577-0171-9. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
19 | Marjan Asadinia, Mehdi Modarressi, Arash Tavakkol, Hamid Sarbazi-Azad |
Supporting non-contiguous processor allocation in mesh-based CMPs using virtual point-to-point links. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Design, Automation and Test in Europe, DATE 2011, Grenoble, France, March 14-18, 2011, pp. 413-418, 2011, IEEE, 978-1-61284-208-0. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
19 | Alessandro Bardine, Pierfrancesco Foglia, Francesco Panicucci, Marco Solinas, Julio Sahuquillo |
Energy Behaviour of NUCA Caches in CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DSD ![In: 14th Euromicro Conference on Digital System Design, Architectures, Methods and Tools, DSD 2011, August 31 - September 2, 2011, Oulu, Finland, pp. 746-753, 2011, IEEE Computer Society, 978-1-4577-1048-3. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
19 | Luka B. Daoud, Mohamed El-Sayed Ragab, Victor Goulart |
Faster Processor Allocation Algorithms for Mesh-Connected CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DSD ![In: 14th Euromicro Conference on Digital System Design, Architectures, Methods and Tools, DSD 2011, August 31 - September 2, 2011, Oulu, Finland, pp. 805-808, 2011, IEEE Computer Society, 978-1-4577-1048-3. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
19 | Xiaowei Jiang, Asit K. Mishra, Li Zhao 0002, Ravishankar R. Iyer 0001, Zhen Fang, Sadagopan Srinivasan, Srihari Makineni, Paul Brett, Chita R. Das |
ACCESS: Smart scheduling for asymmetric cache CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: 17th International Conference on High-Performance Computer Architecture (HPCA-17 2011), February 12-16 2011, San Antonio, Texas, USA, pp. 527-538, 2011, IEEE Computer Society, 978-1-4244-9432-3. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
19 | Junli Gu, Steven S. Lumetta, Rakesh Kumar 0002, Yihe Sun |
MOPED: Orchestrating interprocess message data on CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: 17th International Conference on High-Performance Computer Architecture (HPCA-17 2011), February 12-16 2011, San Antonio, Texas, USA, pp. 111-120, 2011, IEEE Computer Society, 978-1-4244-9432-3. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
19 | Jinglei Wang, Dongsheng Wang 0002, Haixia Wang 0001, Yibo Xue |
High performance cache block replication using re-reference probability in CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HiPC ![In: 18th International Conference on High Performance Computing, HiPC 2011, Bengaluru, India, December 18-21, 2011, pp. 1-10, 2011, IEEE Computer Society, 978-1-4577-1951-6. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
19 | Andrea Pellegrini, Valeria Bertacco |
Cardio: Adaptive CMPs for reliability through dynamic introspective operation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HLDVT ![In: 2011 IEEE International High Level Design Validation and Test Workshop, HLDVT 2011, Napa Valley, CA, USA, November 9-11, 2011, pp. 98-105, 2011, IEEE Computer Society, 978-1-4577-1744-4. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
19 | Young-Sik Eom, Seong Tae Jhang, Chu-Shik Jhon |
Throttling capacity sharing in private L2 caches of CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RACS ![In: Research in Applied Computation Symposium, RACS '11, Miami, FL, USA, October 19-22, 2011, pp. 20-24, 2011, ACM, 978-1-4503-1087-1. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
19 | Ana Bosque, Víctor Viñals, Pablo Ibáñez, José María Llabería |
Filtering Directory Lookups in CMPs with Write-Through Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par (1) ![In: Euro-Par 2011 Parallel Processing - 17th International Conference, Euro-Par 2011, Bordeaux, France, August 29 - September 2, 2011, Proceedings, Part I, pp. 269-281, 2011, Springer, 978-3-642-23399-9. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
19 | Juan M. Cebrian, Juan L. Aragón, Stefanos Kaxiras |
Token3D: Reducing Temperature in 3D Die-Stacked CMPs through Cycle-Level Power Control Mechanisms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par (1) ![In: Euro-Par 2011 Parallel Processing - 17th International Conference, Euro-Par 2011, Bordeaux, France, August 29 - September 2, 2011, Proceedings, Part I, pp. 295-309, 2011, Springer, 978-3-642-23399-9. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
19 | Sadagopan Srinivasan, Ravishankar R. Iyer 0001, Li Zhao 0002, Ramesh Illikkal |
HeteroScouts: hardware assist for OS scheduling in heterogeneous CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMETRICS ![In: SIGMETRICS 2011, Proceedings of the 2011 ACM SIGMETRICS International Conference on Measurement and Modeling of Computer Systems, San Jose, CA, USA, 07-11 June 2011 (Co-located with FCRC 2011), pp. 149-150, 2011, ACM, 978-1-4503-0814-4. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
19 | José L. Abellán, Juan Fernández 0001, Manuel E. Acacio |
GLocks: Efficient Support for Highly-Contended Locks in Many-Core CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 25th IEEE International Symposium on Parallel and Distributed Processing, IPDPS 2011, Anchorage, Alaska, USA, 16-20 May, 2011 - Conference Proceedings, pp. 893-905, 2011, IEEE, 978-1-61284-372-8. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
19 | Juan M. Cebrian, Juan L. Aragón, Stefanos Kaxiras |
Power Token Balancing: Adapting CMPs to Power Constraints for Parallel Multithreaded Workloads. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 25th IEEE International Symposium on Parallel and Distributed Processing, IPDPS 2011, Anchorage, Alaska, USA, 16-20 May, 2011 - Conference Proceedings, pp. 431-442, 2011, IEEE, 978-1-61284-372-8. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
19 | Zhen Fang, Li Zhao 0002, Ravishankar R. Iyer 0001, Carlos Flores Fajardo, German Fabila Garcia, Seung Eun Lee, Bin Li 0018, Steve R. King, Xiaowei Jiang, Srihari Makineni |
Cost-effectively offering private buffers in SoCs and CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 25th International Conference on Supercomputing, 2011, Tucson, AZ, USA, May 31 - June 04, 2011, pp. 275-284, 2011, ACM, 978-1-4503-0102-2. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
19 | Yi Xu, Yu Du, Youtao Zhang, Jun Yang 0002 |
A composite and scalable cache coherence protocol for large scale CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 25th International Conference on Supercomputing, 2011, Tucson, AZ, USA, May 31 - June 04, 2011, pp. 285-294, 2011, ACM, 978-1-4503-0102-2. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
19 | Zhimin Gu, Yinxia Fu, Ninghan Zheng, Jianxun Zhang, Min Cai, Yan Huang 0011, Jie Tang 0003 |
Improving Performance of the Irregular Data Intensive Application with Small Computation Workload for CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP Workshops ![In: 2011 International Conference on Parallel Processing Workshops, ICPPW 2011, Taipei, Taiwan, Sept. 13-16, 2011, pp. 279-288, 2011, IEEE Computer Society, 978-1-4577-1337-8. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
CMP, Helper thread, Irregular computing |
19 | Guangyu Sun 0003, Eren Kursun, Jude A. Rivers, Yuan Xie 0001 |
Exploring the vulnerability of CMPs to soft errors with 3D stacked non-volatile memory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: IEEE 29th International Conference on Computer Design, ICCD 2011, Amherst, MA, USA, October 9-12, 2011, pp. 366-372, 2011, IEEE Computer Society, 978-1-4577-1953-0. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
19 | Rance Rodrigues, Israel Koren, Sandip Kundu |
An Architecture to Enable Life Cycle Testing in CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DFT ![In: 2011 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, DFT 2011, Vancouver, BC, Canada, October 3-5, 2011, pp. 341-348, 2011, IEEE Computer Society, 978-1-4577-1713-0. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
19 | Carles Hernández 0001, Federico Silla, José Duato |
Energy and Performance Efficient Thread Mapping in NoC-Based CMPs under Process Variations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP ![In: International Conference on Parallel Processing, ICPP 2011, Taipei, Taiwan, September 13-16, 2011, pp. 41-50, 2011, IEEE Computer Society, 978-1-4577-1336-1. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
19 | Lluc Alvarez, Ramon Bertran, Marc González 0001, Xavier Martorell, Nacho Navarro, Eduard Ayguadé |
Design space exploration for aggressive core replication schemes in CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPDC ![In: Proceedings of the 20th ACM International Symposium on High Performance Distributed Computing, HPDC 2011, San Jose, CA, USA, June 8-11, 2011, pp. 269-270, 2011, ACM, 978-1-4503-0552-5. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
19 | Mamata Dalui, Biplab K. Sikdar |
An Efficient Test Design for Verification of Cache Coherence in CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DASC ![In: IEEE Ninth International Conference on Dependable, Autonomic and Secure Computing, DASC 2011, 12-14 December 2011, Sydney, Australia, pp. 328-334, 2011, IEEE Computer Society, 978-0-7695-4612-4. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
19 | Asit K. Mishra, Narayanan Vijaykrishnan, Chita R. Das |
A case for heterogeneous on-chip interconnects for CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 38th International Symposium on Computer Architecture (ISCA 2011), June 4-8, 2011, San Jose, CA, USA, pp. 389-400, 2011, ACM, 978-1-4503-0472-6. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
19 | Asit K. Mishra, Xiangyu Dong, Guangyu Sun 0003, Yuan Xie 0001, Narayanan Vijaykrishnan, Chita R. Das |
Architecting on-chip interconnects for stacked 3D STT-RAM caches in CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 38th International Symposium on Computer Architecture (ISCA 2011), June 4-8, 2011, San Jose, CA, USA, pp. 69-80, 2011, ACM, 978-1-4503-0472-6. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
19 | Xiaomin Jia, Pingjing Lu, Caixia Sun, Minxuan Zhang |
Dynamic Program Behavior Identification for High Performance CMPs with Private LLCs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEICE Trans. Inf. Syst. ![In: IEICE Trans. Inf. Syst. 93-D(12), pp. 3211-3222, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
19 | Antonio Flores, Juan L. Aragón, Manuel E. Acacio |
Heterogeneous Interconnects for Energy-Efficient Message Management in CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 59(1), pp. 16-28, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
Tiled chip multiprocessor, heterogeneous on-chip interconnection network, cache coherence protocol, energy-efficient architectures, parallel scientific applications |
19 | Ricardo Fernández Pascual, José M. García 0001, Manuel E. Acacio, José Duato |
Dealing with Transient Faults in the Interconnection Network of CMPs at the Cache Coherence Level. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 21(8), pp. 1117-1131, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
fault tolerance, interconnection network, cache coherence, transient faults |
19 | Antonio Flores, Manuel E. Acacio, Juan L. Aragón |
Exploiting address compression and heterogeneous interconnects for efficient message management in tiled CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Syst. Archit. ![In: J. Syst. Archit. 56(9), pp. 429-441, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
19 | Hiroki Matsutani, Michihiro Koibuchi, Daisuke Ikebuchi, Kimiyoshi Usami, Hiroshi Nakamura, Hideharu Amano |
Ultra Fine-Grained Run-Time Power Gating of On-chip Routers for CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NOCS ![In: NOCS 2010, Fourth ACM/IEEE International Symposium on Networks-on-Chip, Grenoble, France, May 3-6, 2010, pp. 61-68, 2010, IEEE Computer Society, 978-0-7695-4053-5. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
low power, Network-on-Chip, router, power gating |
19 | Felipe Cabarcas, Alejandro Rico, Yoav Etsion, Alex Ramírez |
Interleaving granularity on high bandwidth memory architecture for CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICSAMOS ![In: Proceedings of the 2010 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation (IC-SAMOS 2010), Samos, Greece, July 19-22, 2010, pp. 250-257, 2010, IEEE, 978-1-4244-7937-5. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
19 | Kamil Kedzierski, Francisco J. Cazorla, Roberto Gioiosa, Alper Buyuktosunoglu, Mateo Valero |
Power and performance aware reconfigurable cache for CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IFMT ![In: Proceedings of the Second International Forum on Next-Generation Multicore/Manycore Technologies, IFMT '10, Saint-Malo, France, June 19, 2010, pp. 1:1-1:12, 2010, ACM, 978-1-4503-0008-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
19 | Antonio Flores, Juan L. Aragón, Manuel E. Acacio |
Energy-Efficient Hardware Prefetching for CMPs Using Heterogeneous Interconnects. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDP ![In: Proceedings of the 18th Euromicro Conference on Parallel, Distributed and Network-based Processing, PDP 2010, Pisa, Italy, February 17-19, 2010, pp. 147-154, 2010, IEEE Computer Society, 978-0-7695-3939-3. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
tiled chip-multiprocessor, heterogeneous on-chip interconnection network, prefetching, energy-efficient architectures, parallel scientific applications |