The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase fail-safe (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1967-1976 (16) 1977-1986 (16) 1987-1994 (18) 1995-1998 (19) 1999-2001 (20) 2002-2003 (22) 2004-2006 (22) 2007-2008 (17) 2009-2011 (17) 2012-2015 (21) 2016-2017 (15) 2018-2019 (28) 2020 (18) 2021-2022 (23) 2023-2024 (9)
Publication types (Num. hits)
article(103) inproceedings(174) phdthesis(4)
Venues (Conferences, Journals, ...)
IEEE Trans. Computers(20) CoRR(10) SAFECOMP(7) DFT(6) FTCS(4) ITSC(4) DATE(3) DSN(3) ETFA(3) IEEE Access(3) IPDPS(3) IROS(3) PRDC(3) ACC(2) ACM SIGSOFT Softw. Eng. Notes(2) Adv. Robotics(2) More (+10 of total 182)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 151 occurrences of 119 keywords

Results
Found 281 publication records. Showing 281 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
23Michael Nicolaidis, Serge Noraz, Bernard Courtois A generalized theory of fail-safe systems. Search on Bibsonomy FTCS The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
23Yehuda Afek, Baruch Awerbuch, Eli Gafni Local Fail-safe Network Reset Procedure. Search on Bibsonomy WDAG The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
23Chung-Yang Chiang, Chuan-lin Wu Fail Safe Distributed Fault Diagnosis of Multiprocessor Systems. Search on Bibsonomy ICPP The full citation details ... 1986 DBLP  BibTeX  RDF
23Hans W. Gschwind, H. Uebel Fail-safe-Systeme mit redundanten Rechnern. Search on Bibsonomy Fachtagung Prozessrechner The full citation details ... 1984 DBLP  DOI  BibTeX  RDF
23Wolfgang D. Ehrenberger, Manfred Masur Ein Schritt in Richtung auf Fail-Safe Software. Search on Bibsonomy Software-Fehlertoleranz und -Zuverlässigkeit The full citation details ... 1984 DBLP  DOI  BibTeX  RDF
23Adrian Segall Advances in Verifiable Fail-Safe Routing Procedures. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 1981 DBLP  DOI  BibTeX  RDF
23Theodore D. Friedman, Lance J. Hoffman Towards a Fail-Safe Approach to Secure Databases. Search on Bibsonomy S&P The full citation details ... 1980 DBLP  DOI  BibTeX  RDF
23Michel Diaz, Pierre Azéma, Jean-Michel Ayache Unified Design of Self-Checking and Fail-Safe Combinational Circuits and Sequential Machines. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1979 DBLP  DOI  BibTeX  RDF
23Steven G. Finn Resynch Procedures and a Fail-Safe Network Protocol. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 1979 DBLP  DOI  BibTeX  RDF
23Jane W. S. Liu, Izumi Suwa, Robert E. Stepp, Sergio M. Hinojosa, Tsutoma Utsuqi A fail-safe distributed local network for data communication. Search on Bibsonomy MARK The full citation details ... 1979 DBLP  DOI  BibTeX  RDF
23Henry Y. H. Chuang, Santanu Das Design of Fail-Safe Sequential Machines Using Separable Codes. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1978 DBLP  DOI  BibTeX  RDF
23A. Sengupta, D. K. Chattopadhyay, A. Palit, A. K. Bandyopadhyay 0002, M. S. Basu, Arun K. Choudhury Realization of Fault-Tolerant and Fail-Safe Sequential Machines. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1977 DBLP  DOI  BibTeX  RDF
23Richard J. Spillman A fail-safe redundant architecture for fault-tolerant computing. Search on Bibsonomy ACM Annual Conference The full citation details ... 1977 DBLP  DOI  BibTeX  RDF
23Henry Y. H. Chuang Fail-Safe Asynchronous Machines with Multiple-Input Changes. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1976 DBLP  DOI  BibTeX  RDF
23Yu-Dar Fan Design of Fail-Safe Asynchronous Sequential Machines Search on Bibsonomy 1976   RDF
23Michel Diaz, Jean Claude Geffroy, Marc Courvoisier On-Set Realization of Fail-Safe Sequential Machines. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1974 DBLP  DOI  BibTeX  RDF
23William W. Patterson, Gernot Metze A Fail-Safe Asynchronous Sequential Machine. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1974 DBLP  DOI  BibTeX  RDF
23Y. Mukai, Yoshihiro Tohma A Method for the Realization of Fail-Safe Asynchronous Sequential Circuits. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1974 DBLP  DOI  BibTeX  RDF
23Yoshihiro Tohma Design Technique of Fail-Safe Sequential Circuits Using Flip-Flops For Internal Memory. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1974 DBLP  DOI  BibTeX  RDF
23Tadao Takaoka, Toshihide Ibaraki Fail-Safe Realization of Sequential Machines Search on Bibsonomy Inf. Control. The full citation details ... 1973 DBLP  DOI  BibTeX  RDF
23Tadao Takaoka, Toshihide Ibaraki N-Fail-Safe Sequential Machines. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1972 DBLP  DOI  BibTeX  RDF
23Yoshihiro Tohma, Yasuyoshi Ohyama, Ryozo Sakai Realization of Fail-Safe Sequential Machines by Using a k-out-of-n Code. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1971 DBLP  DOI  BibTeX  RDF
23Tadao Takaoka, Hisashi Mine N-Fail-Safe Logical Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1971 DBLP  DOI  BibTeX  RDF
23Kenneth A. Foster Comments on "Basic Properties and a Construction Method for Fail-Safe Logical Systems". Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1968 DBLP  DOI  BibTeX  RDF
23R. C. Cheek Fail-safe power and environmental facilities for a large computer installation. Search on Bibsonomy AFIPS Fall Joint Computing Conference (1) The full citation details ... 1968 DBLP  DOI  BibTeX  RDF
23Hisashi Mine, Yoshihaki Koga Basic Properties and a Construction Method for Fail-Safe Logical Systems. Search on Bibsonomy IEEE Trans. Electron. Comput. The full citation details ... 1967 DBLP  DOI  BibTeX  RDF
20Peter Tummeltshammer, Andreas Steininger On the role of the power supply as an entry for common cause faults - An experimental analysis. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
20Rami Yared, Xavier Défago, Matthias Wiesmann Collision prevention using group communication for asynchronous cooperative mobile robots. Search on Bibsonomy AINA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Sumant Kowshik, Girish Baliga, Scott R. Graham, Lui Sha Co-Design Based Approach to Improve Robustness in Networked Control Systems. Search on Bibsonomy DSN The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Hairong Sun, James J. Han, Haim Levendel Availability requirement for a fault-management server in high-availability communication systems. Search on Bibsonomy IEEE Trans. Reliab. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Elena Troubitsyna Integrating Safety Analysis into Formal Specification of Dependable Systems. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF failure mode and effect analysis, formal specification, statecharts, actions systems
20James J. Han, Hairong Sun, Haim Levendel Availability Requirement for Fault Management Server. Search on Bibsonomy COMPSAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
20Andreas Schenk SIMATIC S7-400F/FH: Safety-Related Programmable Logic Controller. Search on Bibsonomy SAFECOMP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Ben Swarup Medikonda, Seetha Ramaiah Panchumarthy A framework for software safety in safety-critical systems. Search on Bibsonomy ACM SIGSOFT Softw. Eng. Notes The full citation details ... 2009 DBLP  DOI  BibTeX  RDF software quality, safety-critical system, software safety
15M. Ben Swarup, P. Seetha Ramaiah An Approach to Modeling Software Safety. Search on Bibsonomy SNPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF software quality, safety-critical system, software safety
15Xinhong Hei 0001, Sei Takahashi, Hideo Nakamura Toward developing a Decentralized Railway Signalling System Using Petri Nets. Search on Bibsonomy RAM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Pedro Martins, Paulo Sousa 0001, António Casimiro, Paulo Veríssimo A New Programming Model for Dependable Adaptive Real-Time Applications. Search on Bibsonomy IEEE Distributed Syst. Online The full citation details ... 2005 DBLP  DOI  BibTeX  RDF partial synchrony models, dependable adaptation, real-time systems, mobile computing
15Andreas Birk 0002, Holger Kenn A Rescue Robot Control Architecture Ensuring Safe Semi-autonomous Operation. Search on Bibsonomy RoboCup The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Bert Knegtering, Aarnout Brombacher Conceptual Comparison of Two Commonly Used Safeguarding Principles. Search on Bibsonomy SAFECOMP The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
15Jean-Luis Dufour Safety computations in integrated circuits. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF safety computations, software-based railway control systems, MATRA TRANSPORT, signature checking, coded processor, reliability, fault tolerant computing, logic testing, redundancy, integrated circuit testing, error correction codes, automatic testing, application specific integrated circuits, ASICs, integrated circuits, coprocessors, arithmetic coding, integrated circuit reliability
14Jürgen Mottok, Frank Schiller, Thomas Völkl, Thomas Zeitler A Concept for a Safe Realization of a State Machine in Embedded Automotive Applications. Search on Bibsonomy SAFECOMP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Safely Embedded Software, Safe State Machine, Diverse Instructions, Safety Code Weaving, Safety Supervisor
14Jing Huang 0001, Xiaojun Ma, Cecilia Metra, Fabrizio Lombardi Testing Reversible One-Dimensional QCA Arrays for Multiple Faults. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Arya K. Bhattacharya, P. S. Srinivas, K. Chithra, S. V. Jatla, Jadav Das Recognition of Fault Signature Patterns Using Fuzzy Logic for Prevention of Breakdowns in Steel Continuous Casting Process. Search on Bibsonomy PReMI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Michael E. Locasto, Ke Wang 0009, Angelos D. Keromytis, Salvatore J. Stolfo FLIPS: Hybrid Adaptive Intrusion Prevention. Search on Bibsonomy RAID The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Adaptive Response, Intrusion Tolerance, Intrusion Prevention
14Gehan Weerasinghe, Imad Antonios, Lester Lipsky A Generalized Analytic Performance Model of Distributed Systems that Perform N Tasks Using P Fault-Prone Processors. Search on Bibsonomy IPDPS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Performance Evaluation, Fault-Tolerance, Markov Chains, Networks of Workstations, Processor Failures
10Meeta Sharma Gupta, Jude A. Rivers, Pradip Bose, Gu-Yeon Wei, David M. Brooks Tribeca: design for PVT variations with local recovery and fine-grained adaptation. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
10Usama Ahmed, Muhammad Arif Wahla, Firdous Kausar Fault Tolerant Secure Routing in Cluster Based Mobile Sensor Networks. Search on Bibsonomy ISA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Security, Fault tolerance, Routing, Mobility
10Heung-Il Suk, Bong-Kee Sin, Seong-Whan Lee Recognizing hand gestures using dynamic Bayesian network. Search on Bibsonomy FG The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Nicholas Weaver, Vern Paxson, José M. González The shunt: an FPGA-based accelerator for network intrusion prevention. Search on Bibsonomy FPGA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF FPGA, intrusion detection, hardware acceleration, NIC
10Jörg Schneider, Marcel Naggatz, Rainer G. Spallek Implementation of Architecture Concepts for Hardware Agent Systems. Search on Bibsonomy CIT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Xiao Liu 0001, Andreas Demosthenous, Nick Donaldson A Safe Transmission Strategy for Power and Data Recovery in Biomedical Implanted Devices. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Thomas Kottke, Andreas Steininger A Fail-Silent Reconfigurable Superscalar Processor. Search on Bibsonomy PRDC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Adam J. Rocke, Ronald F. DeMara CONFIDANT: Collaborative Object Notification Framework for Insider Defense using Autonomous Network Transactions. Search on Bibsonomy Auton. Agents Multi Agent Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Distributed Agent Control and Dispatch, Agent Handshaking Protocols, Intrusion Detection System Taxonomy, Network Security
10Meg McGinity Shannon The network of life. Search on Bibsonomy Commun. ACM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Prabal Dutta, Jonathan Hui, Jaein Jeong, Sukun Kim, Cory Sharp, Jay Taneja, Gilman Tolle, Kamin Whitehouse, David E. Culler Trio: enabling sustainable and scalable outdoor wireless sensor network deployments. Search on Bibsonomy IPSN The full citation details ... 2006 DBLP  DOI  BibTeX  RDF long-life, sensor networks, detection, surveillance, testbed, target tracking, large-scale
10Thomas Kottke, Andreas Steininger A Reconfigurable Generic Dual-Core Architecture. Search on Bibsonomy DSN The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Christian Sebeke, C. Jung, Klaus Harbich, S. Fuchs, J. Schwarz, Peter Göhner Test and reliability challenges in automotive microelectronics. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Federico Baronti, Paolo D'Abramo, Martin Knaipp, Rainer Minixhofer, Roberto Roncella, Roberto Saletti, Martin Schrems, Riccardo Serventi, Verena Vescoli FlexRay transceiver in a 0.35 µm CMOS high-voltage technology. Search on Bibsonomy DATE Designers' Forum The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Federico Rota, Shantanu Dutt, Sahithi Krishna Off-Chip Control Flow Checking of On-Chip Processor-Cache Instruction Stream. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Jennifer Morris, Philip Koopman Representing design tradeoffs in safety-critical systems. Search on Bibsonomy ACM SIGSOFT Softw. Eng. Notes The full citation details ... 2005 DBLP  DOI  BibTeX  RDF fault-tolerance, modeling, integrity, dependability, availability, safety
10Simeon Veloudis, Nimal Nissanke An approach to modelling and analysis of coordinated atomic actions. Search on Bibsonomy ETFA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Michael W. Hofbaur, Brian C. Williams Hybrid estimation of complex systems. Search on Bibsonomy IEEE Trans. Syst. Man Cybern. Part B The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Radek Dobias, Hana Kubátová FPGA Based Design of the Railway's Interlocking Equipments. Search on Bibsonomy DSD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Luca Schiano, Marco Ottavi, Fabrizio Lombardi Markov Models of Fault-Tolerant Memory Systems under SEU. Search on Bibsonomy MTDT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Wolfgang A. Halang Automated Control Systems for the Safety Integrity Levels 3 and 4. Search on Bibsonomy WORDS Fall The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Robert Smeikal, Karl M. Göschka Fault-tolerance in a Distributed Management System: a Case Study. Search on Bibsonomy ICSE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Fernando M. Gonçalves, Marcelino B. Santos, Isabel C. Teixeira, João Paulo Teixeira 0001 Self-Checking and Fault Tolerance Quality Assessment Using Fault Sampling. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Steven E. Butner, Moji Ghodoussi A Real-Time System for Tele-Surgery. Search on Bibsonomy ICDCS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF tele-surgery, Robotics, tele-operation
10Noboru Takagi, Kyoichi Nakashima Discrete Interval Truth Values Logic and Its Application. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF set logic, set-valued function, static hazard, regularity, Multiple-valued logic
10Noboru Takagi, Kyoichi Nakashima Some Properties of Discrete Interval Truth Valued Logic. Search on Bibsonomy ISMVL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Haiying Tu, Fangmei Wu How to Design an Environment Simulator for Safety Critical Software Testing. Search on Bibsonomy Asian Test Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Simulation, Software Testing, Object-Oriented Design, Fault-Injection, Safety-Critical Software, Black-Box Testing
10Mary Ellen Zurko, Richard T. Simon, Tom Sanfilippo A User-Centered, Modular Authorization Service Built on an RBAC Foundation. Search on Bibsonomy S&P The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10M. Elif Karsligil, M. Yahya Karsligil Fuzzy Similarity Relations for Chromosome Classification and Identification. Search on Bibsonomy CAIP The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Xiaohui Wei, Jiubin Ju SFT: A Consistent Checkpointing Algorithm With Shorter Freezing Time. Search on Bibsonomy ACM SIGOPS Oper. Syst. Rev. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
10Mark Harman, Yoga Sivagurunathan, Sebastian Danicic Analysis of Dynamic Memory Access Using Amorphous Slicing. Search on Bibsonomy ICSM The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
10Todd A. DeLong, Barry W. Johnson, Joseph A. Profeta III A Fault Injection Technique for VHDL Behavioral-Level Models. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
10Maurice Herlihy, Martin S. McKendry Timestamp-Based Orphan Elimination. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF timestamp based orphan elimination, distributed transaction system, aborted transaction, two-phase protocols, real-time clocks, concurrency control method, distributed processing, concurrency control, database management systems, transaction processing
10H. M. Gladney Data Replicas in Distributed Information Services. Search on Bibsonomy ACM Trans. Database Syst. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
10John C. Reynolds Syntactic Control of Inference, Part 2. Search on Bibsonomy ICALP The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
10Kuniaki Kishida, F. Shirotori, Y. Ikemoto, Shun Ishiyama, Terumine Hayashi A delay test system for high speed logic LSI's. Search on Bibsonomy DAC The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
10Gene C. Barton Sentry: A novel hardware implementation of classic operating system mechanisms. Search on Bibsonomy ISCA The full citation details ... 1982 DBLP  BibTeX  RDF
Displaying result #201 - #281 of 281 (100 per page; Change: )
Pages: [<<][1][2][3]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license