The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for microprogramming with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1956-1971 (17) 1972 (25) 1973 (39) 1974 (63) 1975 (25) 1976 (17) 1977 (24) 1978 (35) 1979 (18) 1980 (31) 1981 (32) 1982 (34) 1983-1984 (41) 1985 (22) 1986 (27) 1987 (110) 1988 (262) 1989 (229) 1990 (213) 1991 (181) 1992 (178) 1993 (241) 1994 (105) 1995 (34) 1996 (18) 1997-2020 (15)
Publication types (Num. hits)
article(1516) incollection(2) inproceedings(495) phdthesis(3) proceedings(20)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 188 occurrences of 131 keywords

Results
Found 2036 publication records. Showing 2036 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
20Jack S. Walicki, John D. Laughlin Operation scheduling in reconfigurable, multifunction pipelines. Search on Bibsonomy MICRO The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
20Vicki H. Allan, Robert A. Mueller Phase coupling for horizontal microcode generation. Search on Bibsonomy MICRO The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
20Stephen W. Melvin, Yale N. Patt SPAM: a microcode based tool for tracing operating system events. Search on Bibsonomy MICRO The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
20David W. Archer The instruction parsing microarchitecture of the CVAX microprocessor. Search on Bibsonomy MICRO The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
20Hiroshi G. Okuno, Nobuyasu Osato, Ikuo Takeuchi Firmware approach to fast Lisp interpreter. Search on Bibsonomy MICRO The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
20Emilio Luque, Joan Sorribes, Ana Ripoll Tuning architecture at run-time. Search on Bibsonomy MICRO The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
20Bogong Su, Shiyuan Ding, Jian Wang 0046, Jinshi Xia GURPR - a method for global software pipelining. Search on Bibsonomy MICRO The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
20Michael A. Howland, Robert A. Mueller, Philip H. Sweany Trace scheduling optimization in a retargetable microcode compiler. Search on Bibsonomy MICRO The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
20W. J. Chen, G. N. Reddy A computer aided design automation system for developing microprogrammed processors: a design approach through HDLs. Search on Bibsonomy MICRO The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
20Thomas Pittman, Lester Bartel Computer architecture simulation using a register transfer language. Search on Bibsonomy MICRO The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
20Lothar Nowak Graph based retargetable microcode compilation in the MIMOLA design system. Search on Bibsonomy MICRO The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
20Mark Harris Extending microcode compaction for real architectures. Search on Bibsonomy MICRO The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
20Kemal Ebcioglu A compilation technique for software pipelining of loops with conditional jumps. Search on Bibsonomy MICRO The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
20Daniel Mange A High-Level-Language Programmable Controller, Part I-A Controller for Structured Microprogramming. Search on Bibsonomy IEEE Micro The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
20M. V. Milkes The Genesis of Microprogramming. Search on Bibsonomy IEEE Ann. Hist. Comput. The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
20 Call for Papers: Microprogramming 1986, ICDE 1986 Search on Bibsonomy SIGMOD Rec. The full citation details ... 1986 DBLP  BibTeX  RDF
20Donald M. Chiarulli, Duncan A. Buell Parallel microprogramming tools for a horizontally reconfigurable architecture. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
20Subrata Dasgupta, Robert A. Mueller Guest Editors' Introduction Firmware Engineering: The Interaction of Microprogramming and Software Technology. Search on Bibsonomy IEEE Softw. The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
20Scott Davidson 0001 Progress im High-Level Microprogramming. Search on Bibsonomy IEEE Softw. The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
20Stanley Habib (eds.) Proceedings of the 19th annual workshop on Microprogramming, New York, NY, USA, October 15-17, 1986 Search on Bibsonomy MICRO The full citation details ... 1986 DBLP  BibTeX  RDF
20David Abramson 0001, John Rosenberg The microarchitecture of a capability-based computer. Search on Bibsonomy MICRO The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
20J. Fredrick Nixon, Stephen R. Schach, Robert I. Winner A microarchitecture description language for retargeting firmware tools. Search on Bibsonomy MICRO The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
20Alexander Aiken, Alexandru Nicolau A development environment for horizontal microcode programs. Search on Bibsonomy MICRO The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
20Naixing Li, Yi Tang, Shiyi Xu BBMSS: A bit-splice based microprogram simulation system. Search on Bibsonomy MICRO The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
20Stephen W. Melvin, Yale N. Patt A microcode-based environment for noninvasive performance analysis. Search on Bibsonomy MICRO The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
20M. Annunziata, Lorenzo Lisca, Giacomo R. Sechi MIDETT: Microprogrammed-system design technique and tools. Search on Bibsonomy MICRO The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
20M. Balakrishnan, P. C. P. Bhatt, B. B. Madan An efficient retargetable microcode generator. Search on Bibsonomy MICRO The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
20John Rosenberg, David Abramson 0001 Microcode development tools for a capability-based processor. Search on Bibsonomy MICRO The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
20Felipe M. G. França, Nelson Q. Vasconcelos, Edil S. T. Fernandes Design and realization of MLM: a multilingual machine. Search on Bibsonomy MICRO The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
20Jeffrey D. Gee, Stephen W. Melvin, Yale N. Patt The implementation of Prolog via VAX 8600 microcode. Search on Bibsonomy MICRO The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
20Yale N. Patt, Stephen W. Melvin, Wen-mei W. Hwu, Michael Shebanow, Chein Chen Run-time generation of HPS microinstructions from a VAX instruction stream. Search on Bibsonomy MICRO The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
20David K. DuBose, Dimitris K. Fotakis, Daniel Tabak A microcoded RISC. Search on Bibsonomy MICRO The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
20Nancy J. Wahl, Stephen R. Schach, Robert I. Winner A dynamic very high-level debugger for low-level microprograms. Search on Bibsonomy MICRO The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
20Bogong Su, Shiyuan Ding, Jinshi Xia URPR - An extension of URCR for software pipelining. Search on Bibsonomy MICRO The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
20Cris Koutsougeras, Christos A. Papachristou, Ranga Vemuri Data flow graph partitioning to reduce communication cost. Search on Bibsonomy MICRO The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
20J. M. Foster Formally based static analysis of microcode. Search on Bibsonomy MICRO The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
20Subrata Dasgupta Hardware Description Languages in Microprogramming Systems. Search on Bibsonomy Computer The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
20Rich Belgard (eds.) Proceedings of the 18th annual workshop on Microprogramming, Pacific Grove, CA, USA, December 3-6, 1985 Search on Bibsonomy MICRO The full citation details ... 1985 DBLP  BibTeX  RDF
20Marek Tudruj, Ryszard F. Gajda A microprogrammable architecture with quasi time-transparent structured control. Search on Bibsonomy MICRO The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
20Kevin Karplus, Alexandru Nicolau Efficient hardware for multiway jumps and pre-fetches. Search on Bibsonomy MICRO The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
20Robert E. Skibbe A practical approach to the evaluation of microcode systems. Search on Bibsonomy MICRO The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
20William J. Tracz, Brian P. Boesch JAM - just another microsequencer. Search on Bibsonomy MICRO The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
20Barry S. Fagin, Yale N. Patt, Vason P. Srini, Alvin M. Despain Compiling Prolog into microcode: a case study using the NCR/32-000. Search on Bibsonomy MICRO The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
20Werner Damm Design and specification of microprogrammed computer architectures. Search on Bibsonomy MICRO The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
20Bogong Su, Shiyuan Ding Some experiments in global microcode compaction. Search on Bibsonomy MICRO The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
20M. S. Tudruj A customized control store design in microprogrammed control units. Search on Bibsonomy MICRO The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
20Werner Damm, Gert Döhmen Verification of microprogrammed computer architectures in the S*-system: a case study. Search on Bibsonomy MICRO The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
20Nam Sung Woo The architecture of the hardware unification unit and an implementation. Search on Bibsonomy MICRO The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
20Steven R. Vegdahl The design of an interactive compiler for optimizing microprograms. Search on Bibsonomy MICRO The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
20Jerry P.-C. Hwang, Christos A. Papachristou, Danny D. Cornett Microcode development for microprogrammed processors. Search on Bibsonomy MICRO The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
20Yale N. Patt, John K. Ahlstrom Microcode and the protection of intellectual effort. Search on Bibsonomy MICRO The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
20Yale N. Patt, Stephen W. Melvin, Wen-mei W. Hwu, Michael Shebanow Critical issues regarding HPS, a high performance microarchitecture. Search on Bibsonomy MICRO The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
20Yale N. Patt, Wen-mei W. Hwu, Michael Shebanow HPS, a new microarchitecture: rationale and introduction. Search on Bibsonomy MICRO The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
20Forbes J. Burkowski An interactive diagnostic/debugging subsystem for bit-slice processors. Search on Bibsonomy MICRO The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
20Darrell L. Wilburn, Stephen Schleimer STEP development tools: METASTEP language system. Search on Bibsonomy MICRO The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
20William J. Tracz Advances in microcode support software. Search on Bibsonomy MICRO The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
20Gary Catlin, Bill Paseman Hardware acceleration of logic simulation using a data flow microarchitecture. Search on Bibsonomy MICRO The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
20Gerhard Chroust Advances in microprogramming: E Mallach and N Sondak (eds)Artech House, USA (1983) £21, pp 460. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 1984 DBLP  DOI  BibTeX  RDF
20M. Carter, Robert A. Mueller (eds.) Proceedings of the 17th annual workshop on Microprogramming, MICRO 1984, New Orleans, Louisiana, USA, October 30 - November 2, 1984 Search on Bibsonomy MICRO The full citation details ... 1984 DBLP  BibTeX  RDF
20J. R. Parker 0001, Katrin Becker A microprogramming simulator for instructional use. Search on Bibsonomy SIGCSE The full citation details ... 1984 DBLP  DOI  BibTeX  RDF
20Subrata Dasgupta A model of clocked micro-architectures for firmware engineering and design automation applications. Search on Bibsonomy MICRO The full citation details ... 1984 DBLP  BibTeX  RDF
20Deepinder P. Sidhu Logic programming applied to hardware design specification and verification. Search on Bibsonomy MICRO The full citation details ... 1984 DBLP  BibTeX  RDF
20Robert Gries, James A. Woodward Software tools used in the development of a VLSI VAX Microcomputer. Search on Bibsonomy MICRO The full citation details ... 1984 DBLP  BibTeX  RDF
20Kazutoshi Takahashi, Etsuo Takahashi, Tatsushige Bitoh, Takao Sugimoto A new universal microprogram converter. Search on Bibsonomy MICRO The full citation details ... 1984 DBLP  BibTeX  RDF
20Bernhard Holtkamp, P. Wagner An algorithm for selection of migration candidates. Search on Bibsonomy MICRO The full citation details ... 1984 DBLP  BibTeX  RDF
20Christos A. Papachriston, James M. Reuter Microassembly and area reduction techniques for PLA microcode. Search on Bibsonomy MICRO The full citation details ... 1984 DBLP  BibTeX  RDF
20Werner Damm An axiomatization of low-level parallelism in microarchitectures. Search on Bibsonomy MICRO The full citation details ... 1984 DBLP  BibTeX  RDF
20Robert A. Mueller, Michael R. Duda, Stephen M. O'Haire A survey of resource allocation methods in optimizing microcode compilers. Search on Bibsonomy MICRO The full citation details ... 1984 DBLP  BibTeX  RDF
20Carl Ponder, Yale N. Patt Alternative proposals for implementing Prolog concurrently and implications regarding their respective microarchitectures. Search on Bibsonomy MICRO The full citation details ... 1984 DBLP  BibTeX  RDF
20Will Sherwood A prototype engineering tester for microcode and hardware debugging. Search on Bibsonomy MICRO The full citation details ... 1984 DBLP  BibTeX  RDF
20Leo Marcus, Stephen D. Crocker, Jaisook Landauer SDVS: A system for verifying microcode correctness. Search on Bibsonomy MICRO The full citation details ... 1984 DBLP  BibTeX  RDF
20J. Eldridge A "metasimulator" for microcoded processors. Search on Bibsonomy MICRO The full citation details ... 1984 DBLP  BibTeX  RDF
20Gary Staas TDL: A hardware/microcode test language interpreter. Search on Bibsonomy MICRO The full citation details ... 1984 DBLP  BibTeX  RDF
20Clifford L. Hall A microcoded multiprocessor crossbar network communications controller. Search on Bibsonomy MICRO The full citation details ... 1984 DBLP  BibTeX  RDF
20Robert A. Mueller, Joseph Varghese, Vicki H. Allan Global methods in the flow graph approach to retargetable microcode generation. Search on Bibsonomy MICRO The full citation details ... 1984 DBLP  BibTeX  RDF
20J. L. Wilkes Architecture of a VLSI multiple ISA emulator. Search on Bibsonomy MICRO The full citation details ... 1984 DBLP  BibTeX  RDF
20Evan Tick Sequential Prolog machine: Image and host architectures. Search on Bibsonomy MICRO The full citation details ... 1984 DBLP  BibTeX  RDF
20C. D. Ardoin, J. L. Linn, B. W. Reynolds The implementation of the attributed recursive descent architecture in VAX-11/780 microcode. Search on Bibsonomy MICRO The full citation details ... 1984 DBLP  BibTeX  RDF
20Takanobu Baba, Mitsuru Ikeda, Katsuhiro Yamazaki, Kenzo Okuda Compaction of two-level microprograms for a multiprocessor computer. Search on Bibsonomy MICRO The full citation details ... 1984 DBLP  BibTeX  RDF
20Richard P. Atkins Improved instruction formation in the exhaustive local microcode compaction algorithm. Search on Bibsonomy MICRO The full citation details ... 1984 DBLP  BibTeX  RDF
20Veljko Milutinovic, D. Roberts, Kai Hwang 0001 Mapping HLL constructs into microcode for improved execution speed. Search on Bibsonomy MICRO The full citation details ... 1984 DBLP  BibTeX  RDF
20Tep P. Dobry, Yale N. Patt, Alvin M. Despain Design decisions influencing the microarchitecture for a Prolog machine. Search on Bibsonomy MICRO The full citation details ... 1984 DBLP  BibTeX  RDF
20Bogong Su, Shiyuan Ding, Lan Jin An improvement of trace scheduling for global microcode compaction. Search on Bibsonomy MICRO The full citation details ... 1984 DBLP  BibTeX  RDF
20David M. Proulx Applications of pipelining to firmware. Search on Bibsonomy MICRO The full citation details ... 1984 DBLP  BibTeX  RDF
20Christos A. Papachristou, Venkata R. Immaneni, D. B. Sarma An automatic migration scheme based on modular microcode and structured firmware sequencing. Search on Bibsonomy MICRO The full citation details ... 1984 DBLP  BibTeX  RDF
20John F. Brown III, Richard L. Sites A chip set microarchitecture for a high-performance VAX implementation. Search on Bibsonomy MICRO The full citation details ... 1984 DBLP  BibTeX  RDF
20Sridhar Samudrala, Charles Lo, John F. Brown III, Richard E. Calcagni Design verification of a VLSI VAX microcomputer. Search on Bibsonomy MICRO The full citation details ... 1984 DBLP  BibTeX  RDF
20Beth Levy Microcode verification using SDVS-the method and a case study. Search on Bibsonomy MICRO The full citation details ... 1984 DBLP  BibTeX  RDF
20Richard E. Calcagni, Will Sherwood Patchable control store for reduced microcode risk in a VLSI VAX microcomputer. Search on Bibsonomy MICRO The full citation details ... 1984 DBLP  BibTeX  RDF
20Colin C. Charlton, D. Jackson, Paul H. Leng The generation of simulator-based systems for microcode development. Search on Bibsonomy MICRO The full citation details ... 1984 DBLP  BibTeX  RDF
20Gerhard Chroust Microprogramming - concepts and techniques: B E ClinePetrocelli (1981) £17.00, pp 169. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 1982 DBLP  DOI  BibTeX  RDF
20Gerhard Chroust Elements of microprogramming: DK Banerji and J RaymondPrentice Hall (1982) £ 18.70, pp 434. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 1982 DBLP  DOI  BibTeX  RDF
20Joseph A. Fisher, William J. Tracz, William C. Hopkins (eds.) Proceedings of the 15th annual workshop on Microprogramming, MICRO 1982, Palo Alto, California, USA, October 5-7, 1982 Search on Bibsonomy MICRO The full citation details ... 1982 DBLP  BibTeX  RDF
20Peter Corcoran 0002 A portable high level approach to optimal microprogramming Search on Bibsonomy 1982   RDF
20Masood Namjoo Design of concurrently testable microprogrammed control units. Search on Bibsonomy MICRO The full citation details ... 1982 DBLP  BibTeX  RDF
20Bruce D. Shriver Through the video display terminal and what Alice found there. Search on Bibsonomy MICRO The full citation details ... 1982 DBLP  BibTeX  RDF
20Robert W. Beauchamp, Neal R. Firth UDSYS a microcode development system. Search on Bibsonomy MICRO The full citation details ... 1982 DBLP  BibTeX  RDF
20Dean Jacobs, Jan F. Prins, Peter Siegel, Kenneth Wilson Monte Carlo techniques in code optimization. Search on Bibsonomy MICRO The full citation details ... 1982 DBLP  BibTeX  RDF
20Arthur G. Olbert Crossing the machine interface. Search on Bibsonomy MICRO The full citation details ... 1982 DBLP  BibTeX  RDF
20Gary R. Burke Control schemes for VLSI microprocessors. Search on Bibsonomy MICRO The full citation details ... 1982 DBLP  BibTeX  RDF
Displaying result #201 - #300 of 2036 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license