The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for prefetch with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1978-1992 (15) 1993-1994 (17) 1995-1996 (23) 1997 (18) 1998-1999 (31) 2000 (23) 2001 (30) 2002 (37) 2003 (28) 2004 (53) 2005 (47) 2006 (28) 2007 (43) 2008 (31) 2009 (23) 2010 (15) 2011-2012 (26) 2013-2015 (17) 2016-2018 (19) 2019-2020 (21) 2021-2022 (18) 2023-2024 (12)
Publication types (Num. hits)
article(139) inproceedings(434) phdthesis(2)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 568 occurrences of 320 keywords

Results
Found 575 publication records. Showing 575 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
20Nadja Ramhöj Holtryd, Madhavan Manivannan, Per Stenström, Miquel Pericàs CBP: Coordinated management of cache partitioning, bandwidth partitioning and prefetch throttling. Search on Bibsonomy PACT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
20Yifan Chen, Junyu Liu, Yan Shi 0001, Min Sheng Prefetch and Cache Replacement Based on Thompson Sampling for Satellite IoT Network. Search on Bibsonomy ICC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
20Yi Hu, Constantin Serban, Lan Wang, Alexander Afanasyev, Lixia Zhang 0001 PLI-Sync: Prefetch Loss-Insensitive Sync for NDN Group Streaming. Search on Bibsonomy ICC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
20Junji Takemasa, Yuki Koizumi, Toru Hasegawa Data prefetch for fast NDN software routers based on hash table-based forwarding tables. Search on Bibsonomy Comput. Networks The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
20Reza Ramezani A prefetch-aware scheduling for FPGA-based multi-task graph systems. Search on Bibsonomy J. Supercomput. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
20Carlos Navarro, Josué Feliu, Salvador Petit, María Engracia Gómez, Julio Sahuquillo Bandwidth-Aware Dynamic Prefetch Configuration for IBM POWER8. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
20Haneen Mohammed, Ziyun Wei, Eugene Wu 0002, Ravi Netravali Continuous Prefetch for Interactive Data Applications. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
20Haneen Mohammed, Ziyun Wei, Ravi Netravali, Eugene Wu 0002 Continuous Prefetch for Interactive Data Applications. Search on Bibsonomy Proc. VLDB Endow. The full citation details ... 2020 DBLP  BibTeX  RDF
20Genghua Yu, Jia Wu 0002 Content caching based on mobility prediction and joint user Prefetch in Mobile edge networks. Search on Bibsonomy Peer-to-Peer Netw. Appl. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
20Muhammad Asim Mukhtar, Maria Mushtaq, Muhammad Khurram Bhatti, Vianney Lapotre, Guy Gogniat FLUSH + PREFETCH: A countermeasure against access-driven cache-based side-channel attacks. Search on Bibsonomy J. Syst. Archit. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
20Juan M. Cebrian, Stefanos Kaxiras, Alberto Ros 0001 Boosting Store Buffer Efficiency with Store-Prefetch Bursts. Search on Bibsonomy MICRO The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
20Haneen Mohammed Continuous Prefetch for Interactive Data Applications. Search on Bibsonomy SIGMOD Conference The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
20Wenbin Jiang, Pai Liu, Hai Jin 0001, Jing Peng An Efficient Data Prefetch Strategy for Deep Learning Based on Non-volatile Memory. Search on Bibsonomy GPC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
20Qi Yu 0003, Bruce R. Childers, Libo Huang, Cheng Qian 0006, Hui Guo 0004, Zhiying Wang 0003 Coordinated Page Prefetch and Eviction for Memory Oversubscription Management in GPUs. Search on Bibsonomy IPDPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
20Xiaoyang Lu, Rujia Wang, Xian-He Sun APAC: An Accurate and Adaptive Prefetch Framework with Concurrent Memory Access Analysis. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
20Wenyuan Zhang 0005, Wenhuan Lu, Ruey-Shun Chen, Yeh-Cheng Chen, Yu-Qiang Chen, T.-C. Wang, Tao Zhang 0010, Naixue Xiong Design and Analysis of an Effective Two-Step Clustering Scheme to Optimize Prefetch Cache Technology. Search on Bibsonomy IEEE Access The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
20Dipika Deb, John Jose, Maurizio Palesi ECAP: energy-efficient caching for prefetch blocks in tiled chip multiprocessors. Search on Bibsonomy IET Comput. Digit. Tech. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
20Hongyu Fang, Sai Santosh Dayapule, Fan Yao, Milos Doroslovacki, Guru Venkataramani PrODACT: Prefetch-Obfuscator to Defend Against Cache Timing Channels. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
20Mei Li, Hongjun Zhang, Yanjun Wu, Chen Zhao Prefetch-aware fingerprint cache management for data deduplication systems. Search on Bibsonomy Frontiers Comput. Sci. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
20Cleomar Oliveira, Igor Monteiro Moraes, Célio Vinicius Neves de Albuquerque A Cache Prefetch Policy based on User's Temporal-and-Social Behavior for Content Management in Wireless Access Networks. Search on Bibsonomy LANOMS The full citation details ... 2019 DBLP  BibTeX  RDF
20Gongjin Sun, Junjie Shen 0001, Alexander V. Veidenbaum Combining Prefetch Control and Cache Partitioning to Improve Multicore Performance. Search on Bibsonomy IPDPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
20Yi Dai, Ke Wu, Mingche Lai, Qiong Li, Dezun Dong PPS: A Low-Latency and Low-Complexity Switching Architecture Based on Packet Prefetch and Arbitration Prediction. Search on Bibsonomy ICA3PP (1) The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
20Jun Xiao 0009, Andy D. Pimentel, Xu Liu 0001 CPpf: a prefetch aware LLC partitioning approach. Search on Bibsonomy ICPP The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
20Eshan Bhatia, Gino Chacon, Seth H. Pugsley, Elvira Teran, Paul V. Gratz, Daniel A. Jiménez Perceptron-based prefetch filtering. Search on Bibsonomy ISCA The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
20Yi Yang 0005, Guohong Cao Prefetch-Based Energy Optimization on Smartphones. Search on Bibsonomy IEEE Trans. Wirel. Commun. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
20Sung Min Lee, Su-Kyung Yoon, Jeong-Geun Kim, Shin-Dug Kim Adaptive correlated prefetch with large-scale hybrid memory system for stream processing. Search on Bibsonomy J. Supercomput. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
20Cheng Qian 0006, Libo Huang, Qi Yu 0003, Zhiying Wang 0003 CHAM: Improving Prefetch Efficiency Using a Composite Hierarchy-Aware Method. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
20Mathias Almquist, Viktor Almquist, Vengatanathan Krishnamoorthi, Niklas Carlsson, Derek L. Eager The Prefetch Aggressiveness Tradeoff in 360° Video Streaming. Search on Bibsonomy CoRR The full citation details ... 2018 DBLP  BibTeX  RDF
20Wim Heirman, Kristof Du Bois, Yves Vandriessche, Stijn Eyerman, Ibrahim Hur Near-side prefetch throttling: adaptive prefetching for high-performance many-core processors. Search on Bibsonomy PACT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
20Meng Sun, Haopeng Chen, Buqing Shu Predict-then-Prefetch Caching Strategy to Enhance QoE in 5G Networks. Search on Bibsonomy SERVICES The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
20Wei Liu 0011, Yisheng Jiang, Shanjie Xu, Guangyi Cao, Wei Du 0001, Yu Cheng Mobility-Aware Video Prefetch Caching and Replacement Strategies in Mobile-Edge Computing Networks. Search on Bibsonomy ICPADS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
20Mathias Almquist, Viktor Almquist, Vengatanathan Krishnamoorthi, Niklas Carlsson, Derek L. Eager The prefetch aggressiveness tradeoff in 360° video streaming. Search on Bibsonomy MMSys The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
20Tareq A. Alawneh, Ahmed Elhossini A prefetch-aware memory system for data access patterns in multimedia applications. Search on Bibsonomy CF The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
20Hongyu Fang, Sai Santosh Dayapule, Fan Yao, Milos Doroslovacki, Guru Venkataramani Prefetch-guard: Leveraging hardware prefetches to defend against cache timing channels. Search on Bibsonomy HOST The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
20Muhammad Yasir Qadri, Nadia N. Qadri, Martin Fleury, Klaus D. McDonald-Maier Energy-efficient data prefetch buffering for low-end embedded processors. Search on Bibsonomy Microelectron. J. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
20Hui Qi, Xiaoqiang Di, Jinqing Li Heuristic Map Tiles Prefetch Strategy Based on Road Network Analysis. Search on Bibsonomy ISCID (2) The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
20Cristobal Ortega, Miquel Moretó, Marc Casas, Ramon Bertran, Alper Buyuktosunoglu, Alexandre E. Eichenberger, Pradip Bose libPRISM: an intelligent adaptation of prefetch and SMT levels. Search on Bibsonomy ICS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
20Teng Tian, Tianqi Wang, Xi Jin 0002 An Efficient Hardware Prefetcher Exploiting the Prefetch Potential of Long-Stride Access Pattern on Virtual Address. Search on Bibsonomy ISPA/IUCC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
20Biswabandan Panda, Shankar Balachandran Expert Prefetch Prediction: An Expert Predicting the Usefulness of Hardware Prefetchers. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
20Daniel Gruss, Clémentine Maurice, Anders Fogh, Moritz Lipp, Stefan Mangard Prefetch Side-Channel Attacks: Bypassing SMAP and Kernel ASLR. Search on Bibsonomy CCS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
20Yong Jin, Kenji Fujikawa, Hiroaki Harai, Masataka Ohta Design and Implementation of Secure Prefetch Mechanism for Multi-step Name Resolution in DNS. Search on Bibsonomy COMPSAC Workshops The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
20Michael Borkowski, Olena Skarlat, Stefan Schulte 0002, Schahram Dustdar Prediction-Based Prefetch Scheduling in Mobile Service Applications. Search on Bibsonomy IEEE MS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
20Muhammad Yasir Qadri, Nadia N. Qadri, Martin Fleury, Klaus D. McDonald-Maier Software-Controlled Instruction Prefetch Buffering for Low-End Processors. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
20Jaehyun Park 0005, Donghwa Shin, Hyung Gyu Lee Prefetch-based dynamic row buffer management for LPDDR2-NVM devices. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
20Saami Rahman, Martin Burtscher, Ziliang Zong, Apan Qasem Maximizing Hardware Prefetch Effectiveness with Machine Learning. Search on Bibsonomy HPCC/CSS/ICESS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
20Axel Carlier, Vincent Charvillat, Wei Tsang Ooi A Video Timeline with Bookmarks and Prefetch State for Faster Video Browsing. Search on Bibsonomy ACM Multimedia The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
20Jamie Garside, Neil C. Audsley WCET Preserving Hardware Prefetch for Many-Core Real-Time Systems. Search on Bibsonomy RTNS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
20Hong Jun Choi, Dong Oh Son, Cheol Hong Kim, Jong Myron Kim Kim A Novel Prefetch Technique for High Performance Embedded System. Search on Bibsonomy ICITCS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
20Lionel Vincent, Stéphane Mancini Closed-loop adaptive and stochastic prefetch mechanism for data array. Search on Bibsonomy DASIP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
20Hongyuan Ma, Wei Liu, Bingjie Wei, Liang Shi, Xiuguo Bao, Lihong Wang, Bin Wang 0004 PAAP: prefetch-aware admission policies for query results cache in web search engines. Search on Bibsonomy SIGIR The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
20Nong Xiao, Bin Chen, Zhiping Cai A demand-driven virtual disk prefetch mechanism for seamless mobility of personal computing environments. Search on Bibsonomy J. Supercomput. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
20Wei Ge, Zhi Qi, Yue Du, Lu Ma, Longxing Shi A Data Prefetch and Reuse Strategy for Coarse-Grained Reconfigurable Architectures. Search on Bibsonomy IEICE Trans. Inf. Syst. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
20Peter A. Boyle, Norman H. Christ, Changhoan Kim Co-design of the IBM Blue Gene/Q Level 1 prefetch engine with QCD. Search on Bibsonomy IBM J. Res. Dev. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
20Abhinav Parate, Matthias Böhmer 0001, David Chu, Deepak Ganesan, Benjamin M. Marlin Practical prediction and prefetch for faster access to applications on mobile phones. Search on Bibsonomy UbiComp The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
20Giang T. K. Nguyen, Xun Gong 0001, Anupam Das 0001, Nikita Borisov PnP: improving web browsing performance over tor using web resource prefetch-and-push. Search on Bibsonomy CCS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
20Shu-Ting Wang, Ting-An Lin, Yichuan Wang, Cheng-Hsin Hsu, Xin Liu 0002 Fusing prefetch and delay-tolerant transfer for mobile videos. Search on Bibsonomy MobiSys The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
20Chung-Ping Hung, Paul S. Min Deriving and visualizing the lower bounds of information gain for prefetch systems. Search on Bibsonomy ICON The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
20Jixin Zhang, Ning Xu 0006, Yuchun Ma, Yu Wang 0002, Jinian Bian Data dependency aware prefetch scheduling for Dynamic Partial reconfigurable designs. Search on Bibsonomy ASICON The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
20Enos K. Mabuto, Hein S. Venter Analyzing registry, log files, and prefetch files in finding digital evidence in graphic design applications. Search on Bibsonomy ISC Int. J. Inf. Secur. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
20Yan Huang 0011, Zhimin Gu, Jie Tang 0003, Min Cai, Jianxun Zhang, Ninghan Zheng Estimating Effective Prefetch Distance in Threaded Prefetching for Linked Data Structures. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
20Santhosh Verma, David M. Koppelman The Interaction and Relative Effectiveness of Hardware and Software Data Prefetch. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
20Alexander V. Kononov, Jen-Shin Hong, Polina A. Kononova, Feng-Cheng Lin Quantity-based buffer-constrained two-machine flowshop problem: active and passive prefetch models for multimedia applications. Search on Bibsonomy J. Sched. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
20Nachiappan Chidambaram Nachiappan, Asit K. Mishra, Mahmut T. Kandemir, Anand Sivasubramaniam, Onur Mutlu, Chita R. Das Application-aware prefetch prioritization in on-chip networks. Search on Bibsonomy PACT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
20Víctor Jiménez, Roberto Gioiosa, Francisco J. Cazorla, Alper Buyuktosunoglu, Pradip Bose, Francis P. O'Connell Making data prefetch smarter: adaptive prefetching on POWER7. Search on Bibsonomy PACT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
20Adrian Alin Lifa, Petru Eles, Zebo Peng Minimization of average execution time based on speculative FPGA configuration prefetch. Search on Bibsonomy ReConFig The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
20Heying Zhang, Kefei Wang, Yi Dai, Lu Liu A Multi-VC Dynamically Shared Buffer with Prefetch for Network on Chip. Search on Bibsonomy NAS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
20Yan Huang 0011, Zhimin Gu, Jie Tang 0003, Min Cai, Jianxun Zhang, Ninghan Zheng Reducing Cache Pollution of Threaded Prefetching by Controlling Prefetch Distance. Search on Bibsonomy IPDPS Workshops The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
20Adrian Alin Lifa, Petru Eles, Zebo Peng Context-Aware Speculative Prefetch for Soft Real-Time Applications. Search on Bibsonomy RTCSA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
20Chao-Chin Wu, Kai-Cheng Wei, Ting-Hong Lin Optimizing Dynamic Programming on Graphics Processing Units Via Data Reuse and Data Prefetch with Inter-Block Barrier Synchronization. Search on Bibsonomy ICPADS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
20Jie Cai, Peter E. Strazdins An Accurate Prefetch Technique for Dynamic Paging Behaviour for Software Distributed Shared Memory. Search on Bibsonomy ICPP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
20Elizabeth Varki, Allen Hubbe, Arif Merchant Improve Prefetch Performance by Splitting the Cache Replacement Queue. Search on Bibsonomy ICAIT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
20Jun He, Xian-He Sun, Rajeev Thakur KNOWAC: I/O Prefetch via Accumulated Knowledge. Search on Bibsonomy CLUSTER The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
20Yasuo Ishii, Mary Inaba, Kei Hiraki Access Map Pattern Matching for High Performance Data Cache Prefetch. Search on Bibsonomy J. Instr. Level Parallelism The full citation details ... 2011 DBLP  BibTeX  RDF
20Chang Joo Lee, Onur Mutlu, Veynu Narasiman, Yale N. Patt Prefetch-Aware Memory Controllers. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2011 DBLP  DOI  BibTeX  RDF prefetching, DRAM, Memory systems, memory controllers, multi-core systems
20Nan Zhang Resolving a L2-prefetch-caused parallel nonscaling on Intel Core microarchitecture. Search on Bibsonomy J. Parallel Distributed Comput. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
20Bekim Cilku, Peter P. Puschner Using a Local Prefetch Strategy to Obtain Temporal Time Predictability. Search on Bibsonomy ISORC Workshops The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
20Morteza Damavandpeyma, Sander Stuijk, Twan Basten, Marc Geilen, Henk Corporaal Hybrid Code-Data Prefetch-Aware Multiprocessor Task Graph Scheduling. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
20Carole-Jean Wu, Aamer Jaleel, Margaret Martonosi, Simon C. Steely Jr., Joel S. Emer PACMan: prefetch-aware cache management for high performance caching. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
20Marius Grannæs, Magnus Jahre, Lasse Natvig Exploring the Prefetcher/Memory Controller Design Space: An Opportunistic Prefetch Scheduling Strategy. Search on Bibsonomy ARCS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
20Tomoki Yoshihisa, Shojiro Nishio An interruption time reduction scheme with prefetch for hybrid video broadcasting environments. Search on Bibsonomy WCNC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
20Samir Ammenouche, David E. Singh, Jesús Carretero 0001, William Jalby Software prefetch on core micro-architecture applied to irregular codes. Search on Bibsonomy HPCS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
20Sirui Yang, Xiaoming Li, Tin-Fook Ngai Diadem: Prefetch-Based Traffic Shaping for Energy Saving in Wireless Networks. Search on Bibsonomy GreenCom The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
20Eiman Ebrahimi, Chang Joo Lee, Onur Mutlu, Yale N. Patt Prefetch-aware shared resource management for multi-core systems. Search on Bibsonomy ISCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
20Sergiu Carpov Scheduling for memory management and prefetch in embedded multi-core architectures. (Ordonnancement pour la gestion de la mémoire et du préchargement dans les architectures multicoeurs embarquées). Search on Bibsonomy 2011   RDF
20Qiang Wang, Khuzaima Daudjee, M. Tamer Özsu Popularity-aware prefetch in P2P range caching. Search on Bibsonomy Peer-to-Peer Netw. Appl. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
20Radu Andrei Stefan, Jason de Windt, Kees Goossens On-chip network interfaces supporting automatic burst write creation, posted writes and read prefetch. Search on Bibsonomy ICSAMOS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
20Swapnil Bhatia, Elizabeth Varki, Arif Merchant Sequential Prefetch Cache Sizing for Maximal Hit Rate. Search on Bibsonomy MASCOTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF hit rate, cache, dynamic, prefetching, storage, size
20Jie Cai, Peter E. Strazdins, Alistair P. Rendell Region-Based Prefetch Techniques for Software Distributed Shared Memory Systems. Search on Bibsonomy CCGRID The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
20Junghoon Lee, Gyung-Leen Park, Youngshin Hong, In-Hye Shin, Sang Joon Lee Performance Measurement of the Hybrid Prefetch Scheme on Vehicular Telematics Networks. Search on Bibsonomy ICCSA (3) The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
20Tomoaki Ukezono, Kiyofumi Tanaka Dynamic binary code translation for data prefetch optimization. Search on Bibsonomy ACSAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Akihiro Musa, Yoshiei Sato, Takashi Soga, Ryusuke Egawa, Hiroyuki Takizawa, Koki Okabe, Hiroaki Kobayashi Effects of MSHR and Prefetch Mechanisms on an On-Chip Cache of the Vector Architecture. Search on Bibsonomy ISPA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Qiang Wang, Khuzaima Daudjee, M. Tamer Özsu Popularity-Aware Prefetch in P2P Range Caching. Search on Bibsonomy Peer-to-Peer Computing The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Javier Resano, Daniel Mozos, Francky Catthoor A Hybrid Prefetch Scheduling Heuristic to Minimize at Run-Time the Reconfiguration Overhead of Dynamically Reconfigurable Hardware Search on Bibsonomy CoRR The full citation details ... 2007 DBLP  BibTeX  RDF
20Xiaoning Ding, Song Jiang 0001, Feng Chen 0005, Kei Davis, Xiaodong Zhang 0001 DiskSeen: Exploiting Disk Layout and Access History to Enhance I/O Prefetch. Search on Bibsonomy USENIX Annual Technical Conference The full citation details ... 2007 DBLP  BibTeX  RDF
20Matthias Hofmann, Arno Klein, Gabriella Kókai Adaptive Optimierung des Prefetch-Verhaltens bei objektorientierten Multi-Tier Client-Server-Systemen. Search on Bibsonomy LWA The full citation details ... 2007 DBLP  BibTeX  RDF
20Huajing Li, Wang-Chien Lee, Anand Sivasubramaniam, C. Lee Giles A Hybrid Cache and Prefetch Mechanism for Scientific Literature Search Engines. Search on Bibsonomy ICWE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Tim Wellhausen Object Prefetch Filter - A Pattern for Improving the Performance of Object Retrieval Using Object-Relational Mapping Tools. Search on Bibsonomy EuroPLoP The full citation details ... 2007 DBLP  BibTeX  RDF
20Yang Qu, Juha-Pekka Soininen, Jari Nurmi Using Constraint Programming to Achieve Optimal Prefetch Scheduling for Dependent Tasks on Run-Time Reconfigurable Devices. Search on Bibsonomy SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Hui Song, Guohong Cao Cache-miss-initiated prefetch in mobile environments. Search on Bibsonomy Comput. Commun. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Kai-Feng Wang, Zhenzhou Ji, Mingzeng Hu Path-based next N trace prefetch in trace processors. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 575 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license