The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for retiming with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1985-1993 (31) 1994 (23) 1995 (32) 1996 (29) 1997 (23) 1998 (23) 1999 (29) 2000 (16) 2001 (17) 2002 (21) 2003 (25) 2004 (32) 2005 (25) 2006 (19) 2007 (18) 2008 (18) 2009-2010 (22) 2011-2012 (16) 2013-2014 (16) 2015-2016 (18) 2017-2019 (19) 2020-2023 (9)
Publication types (Num. hits)
article(153) incollection(4) inproceedings(321) phdthesis(3)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 451 occurrences of 214 keywords

Results
Found 481 publication records. Showing 481 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
18Cunxi Yu, Chau-Chin Huang, Gi-Joon Nam, Mihir Choudhury, Victor N. Kravets, Andrew Sullivan, Maciej J. Ciesielski, Giovanni De Micheli End-to-End Industrial Study of Retiming. Search on Bibsonomy ISVLSI The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
18Kamlesh Singh, Hailong Jiao, Jos Huisken, Hamed Fatemi, José Pineda de Gyvez Low power latch based design with smart retiming. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
18Mohamed Ben Ahmed, Farah Zeghal Mansour, Mohamed Haouari A two-level optimization approach for robust aircraft routing and retiming. Search on Bibsonomy Comput. Ind. Eng. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
18Nian-Ze Lee, Victor N. Kravets, Jie-Hong R. Jiang Sequential engineering change order under retiming and resynthesis. Search on Bibsonomy ICCAD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
18Naveen Kadayinti, Maryam Shojaei Baghini, Dinesh Kumar Sharma A Clock Retiming Circuit for Repeaterless Low Swing On-Chip Interconnects. Search on Bibsonomy VLSID The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
18Won Jong Jo, Jong-Min Choe, Jae Young Lee, Rae-Hong Park Global motion compensated saliency estimation with a hand-held camera for video retiming. Search on Bibsonomy ICCE The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
18Hsiao-Lun Wang, Minghe Zhang, Peter A. Beerel Retiming of Two-Phase Latch-Based Resilient Circuits. Search on Bibsonomy DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
18Hai Zhou Circuit Retiming. Search on Bibsonomy Encyclopedia of Algorithms The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
18Hai Zhou Circuit Retiming: An Incremental Approach. Search on Bibsonomy Encyclopedia of Algorithms The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
18Pramod Kumar Meher On Efficient Retiming of Fixed-Point Circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
18Xue-Yang Zhu, Marc Geilen, Twan Basten, Sander Stuijk Multiconstraint Static Scheduling of Synchronous Dataflow Graphs Via Retiming and Unfolding. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
18Naveen Kadayinti, Amitalok J. Budkuley, Dinesh Kumar Sharma Settling Time of Mesochronous Clock Retiming Circuits for Low Swing Interconnects. Search on Bibsonomy CoRR The full citation details ... 2016 DBLP  BibTeX  RDF
18Ana Lava, Mahdi Jelodari Mamaghani, Siamak Mohammadi, Steve B. Furber Application-aware Retiming of Accelerators: A High-level Data-driven Approach. Search on Bibsonomy CoRR The full citation details ... 2016 DBLP  BibTeX  RDF
18Deepa Yagain, A. Vijaya Krishna Framework for Digital Filter Design Optimization (DiFiDOT) using MCM Based Register Minimization Retiming for Noise Removal ECG Filters. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
18Keni Qiu, Weigong Zhang, Xiaoqiang Wu, Xiaoyan Zhu, Jing Wang 0055, Yuanchao Xu 0002, Chun Jason Xue Balanced loop retiming to effectively architect STT-RAM-based hybrid cache for VLIW processors. Search on Bibsonomy SAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
18Teng Xu 0001, Miodrag Potkonjak Retiming and dual-supply voltage based energy optimization for DSP applications. Search on Bibsonomy ICASSP The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
18Ulysse Beaugnon, Albert Cohen 0001, Marc Pouzet In-Place Update in a Dataflow Synchronous Language: A Retiming-Enabled Language Experiment. Search on Bibsonomy SCOPES The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
18Yaroub Elloumi, Mohamed Akil, Mohamed Hedi Bedoui Execution time optimisation using delayed multidimensional retiming. Search on Bibsonomy Int. J. High Perform. Syst. Archit. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
18Yutaka Fukuchi, Kouji Hirata, Joji Maeda Numerical Analyses of All-Optical Retiming Switches Using Cascade of Second Harmonic Generation and Difference Frequency Mixing in Periodically Poled Lithium Niobate Waveguides. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
18Innfarn Yoo, Michel Abdul-Massih, Illia Ziamtsov, Raymond Hassan, Bedrich Benes Motion retiming by using bilateral time control surfaces. Search on Bibsonomy Comput. Graph. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
18Seyed Mohammad Hossein Shekarian, Morteza Saheb Zamani Improving hardware Trojan detection by retiming. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
18Deepa Yagain, Sivanag Balla, A. Vijaya Krishna Efficient Audio Filter Using Folded Pipelining Architecture Based on Retiming Using Evolutionary Computation. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
18Tobias Strauch Running Identical Threads in C-Slow Retiming based Designs for Functional Failure Detection. Search on Bibsonomy CoRR The full citation details ... 2015 DBLP  BibTeX  RDF
18Deepa Yagain, A. Vijaya Krishna A novel framework for retiming using evolutionary computation for high level synthesis of digital filters. Search on Bibsonomy Swarm Evol. Comput. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
18Saichandrateja Radhapuram, Jung Nam Bae, Ikkyun Jo, Takao Kihara, Toshimasa Matsuoka A low-power CMOS programmable frequency divider with novel retiming scheme. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
18Yuko Hara-Azumi, Toshinobu Matsuba, Hiroyuki Tomiyama, Shinya Honda, Hiroaki Takada Impact of Resource Sharing and Register Retiming on Area and Performance of FPGA-based Designs. Search on Bibsonomy IPSJ Trans. Syst. LSI Des. Methodol. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
18Keni Qiu, Mengying Zhao, Qing'an Li, Chenchen Fu, Chun Jason Xue Migration-Aware Loop Retiming for STT-RAM-Based Hybrid Cache in Embedded Systems. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
18Brandon Noia, Krishnendu Chakrabarty Retiming for Delay Recovery After DfT Insertion on Interdie Paths in 3-D ICs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
18Reza Rezaeian Farashahi, Bahram Rashidi, Sayed Masoud Sayedi FPGA based fast and high-throughput 2-slow retiming 128-bit AES encryption algorithm. Search on Bibsonomy Microelectron. J. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
18Bei Yu 0001, Sheqin Dong, Yuchun Ma, Tao Lin, Yu Wang 0002, Song Chen 0001, Satoshi Goto Network flow-based simultaneous retiming and slack budgeting for low power design. Search on Bibsonomy CoRR The full citation details ... 2014 DBLP  BibTeX  RDF
18Xue-Yang Zhu, Marc Geilen, Twan Basten, Sander Stuijk Memory-constrained static rate-optimal scheduling of synchronous dataflow graphs via retiming. Search on Bibsonomy DATE The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
18Girish Venkataramani, Yongfeng Gu System-Level Retiming and Pipelining. Search on Bibsonomy FCCM The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
18Hanif D. Sherali, Ki-Hwan Bae, Mohamed Haouari A benders decomposition approach for an integrated airline schedule design and fleet assignment problem with flight retiming, schedule balance, and demand recapture. Search on Bibsonomy Ann. Oper. Res. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18Bahram Rashidi High performance and low-power finite impulse response filter based on ring topology with modified retiming serial multiplier on FPGA. Search on Bibsonomy IET Signal Process. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18Yinghai Lu, Hai Zhou 0001 Retiming for Soft Error Minimization Under Error-Latching Window Constraints. Search on Bibsonomy DATE The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18Yaroub Elloumi, Mohamed Akil, Mohamed Bedoui Hedi Execution Time and Code Size Optimization Using Multidimensional Retiming and Loop Striping. Search on Bibsonomy DSD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18Zhenyu Guan, Justin S. J. Wong, Sumanta Chaudhuri, George A. Constantinides, Peter Y. K. Cheung A variation-adaptive retiming method exploiting reconfigurability. Search on Bibsonomy FPL The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18Tobias Strauch Timing Driven C-Slow Retiming on RTL for MultiCores on FPGAs. Search on Bibsonomy PARCO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18Keni Qiu, Mengying Zhao, Chenchen Fu, Liang Shi, Chun Jason Xue Migration-aware loop retiming for STT-RAM based hybrid cache for embedded systems. Search on Bibsonomy ASAP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18Brandon Noia, Krishnendu Chakrabarty Post-DfT-insertion retiming for delay recovery on inter-die paths in 3D ICs. Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18José O. Cadenas, R. Simon Sherratt, Pablo Huerta, Wen-Chung Kao, Graham M. Megson Parallel pipelined histogram architecture via C-slow retiming. Search on Bibsonomy ICCE The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18Xue-Yang Zhu, Twan Basten, Marc Geilen, Sander Stuijk Efficient Retiming of Multirate DSP Algorithms. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Yuko Hara-Azumi, Toshinobu Matsuba, Hiroyuki Tomiyama, Shinya Honda, Hiroaki Takada Selective Resource Sharing with RT-Level Retiming for Clock Enhancement in High-Level Synthesis. Search on Bibsonomy HPCC-ICESS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Weihsin Lin, Yi-ru Su, Francis Chang, Kluo-Yueh Chen, Shin-Hshien Huang Evaluation approaches of fuel saving and carbon reduction benefits for arterial signal retiming. Search on Bibsonomy ITST The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Xue-Yang Zhu, Marc Geilen, Twan Basten, Sander Stuijk Static Rate-Optimal Scheduling of Multirate DSP Algorithms via Retiming and Unfolding. Search on Bibsonomy IEEE Real-Time and Embedded Technology and Applications Symposium The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Ozgur Sinanoglu, Vishwani D. Agrawal Retiming scan circuit to eliminate timing penalty. Search on Bibsonomy LATW The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Mounir Zid, Rached Tourki, Alberto Scandurra, Carlo Pistritto A mesochronous outfit for Network-on-Chip's interconnects retiming. Search on Bibsonomy DTIS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Yaroub Elloumi, Mohamed Akil, Mohamed Bedoui Hedi Execution Time Optimization Using Delayed Multidimensional Retiming. Search on Bibsonomy DS-RT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Weijia Che, Karam S. Chatha Unrolling and retiming of stream applications onto embedded multicore processors. Search on Bibsonomy DAC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Mario R. Casu Half-buffer retiming and token cages for synchronous elastic circuits. Search on Bibsonomy IET Comput. Digit. Tech. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Seungwhun Paik, Seonggwan Lee, Youngsoo Shin Retiming Pulsed-Latch Circuits With Regulating Pulse Width. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Rashmi Nanda, Dejan Markovic Energy-Efficient Retiming and Scheduling of Datapath-Dominant Digital Systems. Search on Bibsonomy J. Low Power Electron. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Wenyao Xu, Jia Wang, Yu Hu 0002, Ju-Yueh Lee, Fang Gong, Lei He 0001, Majid Sarrafzadeh In-Place FPGA Retiming for Mitigation of Variational Single-Event Transient Faults. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Davide Tasca, Marco Zanuso, Salvatore Levantino, Carlo Samori, Andrea L. Lacaita Low-Power Divider Retiming in a 3-4 GHz Fractional-N PLL. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Yi Diao, Yu-Liang Wu A Fast Retiming Algorithm Integrated with Rewiring for Flip-Flop Reductions. Search on Bibsonomy CAD/Graphics The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Bei Yu 0001, Sheqin Dong, Yuchun Ma, Tao Lin, Yu Wang 0002, Song Chen 0001, Satoshi Goto Network flow-based simultaneous retiming and slack budgeting for low power design. Search on Bibsonomy ASP-DAC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Weijia Che, Karam S. Chatha Compilation of stream programs onto scratchpad memory based embedded multicore processors through retiming. Search on Bibsonomy DAC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Mohamed Ali Aloulou, Mohamed Haouari, Farah Zeghal Mansour Robust Aircraft Routing and Flight Retiming. Search on Bibsonomy Electron. Notes Discret. Math. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Chun Jason Xue, Jingtong Hu, Zili Shao, Edwin Hsing-Mean Sha Iterational retiming with partitioning: Loop scheduling with complete memory latency hiding. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Mehdi Sedighi, Sam Farrokhi GALS system optimization using retiming concept. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Xue-Yang Zhu Retiming multi-rate DSP algorithms to meet real-time requirement. Search on Bibsonomy DATE The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Mario R. Casu Improving Synchronous Elastic Circuits: Token Cages and Half-Buffer Retiming. Search on Bibsonomy ASYNC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Shenghua Liu, Yuchun Ma, Xianlong Hong, Yu Wang 0002 Simultaneous slack budgeting and retiming for synchronous circuits optimization. Search on Bibsonomy ASP-DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Debasish Das, Jia Wang 0003, Hai Zhou 0001 iRetILP: an efficient incremental algorithm for min-period retiming under general delay model. Search on Bibsonomy ASP-DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Daniel Gomez-Prado, Dusung Kim, Maciej J. Ciesielski, Emmanuel Boutillon Retiming arithmetic datapaths using Timed Taylor Expansion Diagrams. Search on Bibsonomy HLDVT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18David A. Papa, Smita Krishnaswamy, Igor L. Markov SPIRE: A retiming-based physical-synthesis transformation system. Search on Bibsonomy ICCAD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Chun Jason Xue, Zili Shao, Meilin Liu, Mei Kang Qiu, Edwin Hsing-Mean Sha Optimizing parallelism for nested loops with iterational and instructional retiming. Search on Bibsonomy J. Embed. Comput. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Stefan Mendel, Christian Vogel 0001, Nicola Da Dalt A Phase-Domain All-Digital Phase-Locked Loop Architecture Without Reference Clock Retiming. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Meilin Liu, Edwin Hsing-Mean Sha, Chun Xue, Meikang Qiu Loop Fusion Technique with Minimal Memory Cost via Retiming. Search on Bibsonomy CATA The full citation details ... 2009 DBLP  BibTeX  RDF
18Seonggwan Lee, Seungwhun Paik, Youngsoo Shin Retiming and time borrowing: Optimizing high-performance pulsed-latch-based circuits. Search on Bibsonomy ICCAD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Hai Zhou 0001 Circuit Retiming: An Incremental Approach. Search on Bibsonomy Encyclopedia of Algorithms The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Hai Zhou 0001 Circuit Retiming. Search on Bibsonomy Encyclopedia of Algorithms The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Bruno Gaujal, Jean Mairesse Minimization of circuit registers: Retiming revisited. Search on Bibsonomy Discret. Appl. Math. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Adel Dokhanchi, Mostafa Rezvani, Ali Jahanian 0001, Morteza Saheb Zamani Performance Improvement of Physical Retiming with Shortcut Insertion. Search on Bibsonomy ISVLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Anne Mercier, François Soumis An integrated aircraft routing, crew scheduling and flight retiming model. Search on Bibsonomy Comput. Oper. Res. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Tomohiro Sano, Takaya Maruyama, Ikuo Yasui, Hisayasu Sato, Toshihiko Shimizu A 1.8 mm2, 11 mA, 23.2 dB-NF, discrete-time filter for GSM/WCDMA/WLAN using retiming technique. Search on Bibsonomy CICC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Qingfeng Zhuge, Chun Xue, Zili Shao, Meilin Liu, Meikang Qiu, Edwin Hsing-Mean Sha Design optimization and space minimization considering timing and code size via retiming and unfolding. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Chao Cheng, Keshab K. Parhi High-Speed Parallel CRC Implementation Based on Unfolding, Pipelining, and Retiming. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Ingmar Neumann Modelling Synchronous Multi-Clock Circuits for Retiming. Search on Bibsonomy MBMV The full citation details ... 2006 DBLP  BibTeX  RDF
18Christian Plessl, Marco Platzner, Lothar Thiele Optimal temporal partitioning based on slowdown and retiming. Search on Bibsonomy FPT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Hamid Partovi, Wolfgang Walthes, Luca Ravezzi, Paul Lindt, Sivaraman Chokkalingam, Karthik Gopalakrishnan, Andreas Blum, Otto Schumacher, Claudio Andreotti, Michael Bruennert, Bruno Celli-Urbani, Dirk Friebe, Ivo Koren, Michael Verbeck, Ulrich Lange Data Recovery and Retiming for the Fully Buffered DIMM 4.8Gb/s Serial Links. Search on Bibsonomy ISSCC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Brian Kahne, Magdy S. Abadir Retiming Verification Using Sequential Equivalence Checking. Search on Bibsonomy MTV The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Krister Landernäs, Johnny Holmberg, Mark Vesterbacka Glitch reduction in digit-serial recursive filters using retiming. Search on Bibsonomy ICECS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Otmane Aït Mohamed, Xiaoyu Song, Eduard Cerny, Sofiène Tahar, Zijian Zhou 0001 MDG-Based State Enumeration By Retiming And Circuit Transformation. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Mathilde Gay, Laurent Bramerie, G. Girault, Vincent Roncin, Jean-Claude Simon Experimental Study of Reshaping Retiming Gates for 3R Regeneration. Search on Bibsonomy OpNeTec The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Meilin Liu, Qingfeng Zhuge, Zili Shao, Kevin F. Chen, Edwin Hsing-Mean Sha Loop Fusion via Retiming for DSP Applications. Search on Bibsonomy PDCS The full citation details ... 2004 DBLP  BibTeX  RDF
18Ratnesh Verma, Dongming Peng Optimum Retiming Of Multi-Dimensional Data Flow Graphs With Variable Processing Order. Search on Bibsonomy CAINE The full citation details ... 2004 DBLP  BibTeX  RDF
18Mehrdad Eslami Dehkordi, Stephen Dean Brown Retiming aware clustering for sequential circuits. Search on Bibsonomy FPT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Arindam Mukherjee 0001, Rajsaktish Sankaranarayan Retiming and clock scheduling to minimize simultaneous switching. Search on Bibsonomy SoCC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Thorsten Jan Winterer Requested resource reallocation with retiming : an algorithm for finding non-dominated solutions with minimal changes. Search on Bibsonomy 2004   RDF
18Enrique San Millán, Luis Entrena, José Alberto Espejo, Celia López Theoretical comparison between sequential redundancy addition and removal and retiming optimization techniques. Search on Bibsonomy J. Syst. Archit. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Ivan Augé, François Donnet, Frédéric Pétrot Retiming Finite State Machines to Control Hardened Data-Paths. Search on Bibsonomy SBCCI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Zongwei Lu, Zhenghui Lin, Houpeng Chen Variables Bounding Based Retiming Algorithm. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Nicholas Weaver, John Wawrzynek The Effects of Datapath Placement and C-Slow Retiming on Three Computational Benchmarks. Search on Bibsonomy FCCM The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Timothy W. O'Neil, Edwin Hsing-Mean Sha Retiming synchronous data-flow graphs to reduce execution time. Search on Bibsonomy IEEE Trans. Signal Process. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Ingmar Neumann, Wolfgang Kunz Performance Optimization during Placement by Retiming. Search on Bibsonomy MBMV (2) The full citation details ... 2001 DBLP  BibTeX  RDF
18Timothy W. O'Neil, Edwin Hsing-Mean Sha On Retiming Synchronous Data-Flow Graphs. Search on Bibsonomy PDCS The full citation details ... 2001 DBLP  BibTeX  RDF
18Nelson L. Passos, Delvin C. Defoe, Reynold J. Bailey, Ranette H. Halverson, Richard P. Simpson Theoretical constraints on multidimensional retiming design techniques. Search on Bibsonomy Visual Information Processing The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Ingmar Neumann, Wolfgang Kunz Placement Driven Retiming with a Coupled Edge Timing Model. Search on Bibsonomy ICCAD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Jason Baumgartner, Andreas Kuehlmann Min-Area Retiming on Dynamic Circuit Structures. Search on Bibsonomy ICCAD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 481 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license