The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for routability with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1973-1991 (16) 1992-1994 (22) 1995-1996 (23) 1997-1998 (20) 1999-2000 (24) 2001 (19) 2002 (24) 2003 (35) 2004 (32) 2005 (34) 2006 (30) 2007 (30) 2008 (34) 2009 (24) 2010-2011 (24) 2012-2013 (20) 2014-2015 (17) 2016-2017 (24) 2018-2019 (24) 2020-2021 (16) 2022-2023 (25) 2024 (7)
Publication types (Num. hits)
article(155) inproceedings(369)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 363 occurrences of 173 keywords

Results
Found 524 publication records. Showing 524 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
19Gengjie Chen, Chak-Wa Pui, Wing-Kai Chow, Ka-Chun Lam, Jian Kuang 0001, Evangeline F. Y. Young, Bei Yu 0001 RippleFPGA: Routability-Driven Simultaneous Packing and Placement for Modern FPGAs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
19Ziad Abuowaimer, Dani Maarouf, Timothy Martin, Jérémy Foxcroft, Gary Gréwal, Shawki Areibi, Anthony Vannelli GPlace3.0: Routability-Driven Analytic Placer for UltraScale FPGA Architectures. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
19Hongxia Zhou, Chiu-Wing Sham, Hailong Yao Revisiting Routability-Driven Placement for Analog and Mixed-Signal Circuits. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
19Bapi Kar, Susmita Sur-Kolay, Chittaranjan A. Mandal Early Routability Assessment in VLSI Floorplans: A Generalized Routing Model. Search on Bibsonomy CoRR The full citation details ... 2018 DBLP  BibTeX  RDF
19Zhiyao Xie, Yu-Hung Huang, Guan-Qi Fang, Haoxing Ren, Shao-Yun Fang, Yiran Chen 0001, Nvidia Corporation RouteNet: routability prediction for mixed-size designs using convolutional neural network. Search on Bibsonomy ICCAD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
19Jai-Ming Lin, Jhih-Sheng Syu, I-Ru Chen Macro-aware row-style power delivery network design for better routability. Search on Bibsonomy ICCAD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
19Li-Chin Chen, Chien-Chia Huang, Yao-Lin Chang, Hung-Ming Chen A learning-based methodology for routability prediction in placement. Search on Bibsonomy VLSI-DAT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
19Ilgweon Kang, Dongwon Park, Changho Han, Chung-Kuan Cheng Fast and precise routability analysis with conditional design rules. Search on Bibsonomy SLIP@DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
19Haocheng Li, Wing-Kai Chow, Gengjie Chen, Evangeline F. Y. Young, Bei Yu 0001 Routability-driven and fence-aware legalization for mixed-cell-height circuits. Search on Bibsonomy DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
19Jai-Ming Lin, Jung-An Yang Routability-Driven TSV-Aware Floorplanning Methodology for Fixed-Outline 3-D ICs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
19Guanshun Yu, Tom Y. Cheng, Blayne Kettlewell, Harrison Liew, Mingoo Seok, Peter R. Kinget FPGA with Improved Routability and Robustness in 130nm CMOS with Open-Source CAD Targetability. Search on Bibsonomy CoRR The full citation details ... 2017 DBLP  BibTeX  RDF
19Leonard Schneider, Oliver Keszöcze, Jannis Stoppe, Rolf Drechsler Effects of cell shapes on the routability of Digital Microfluidic Biochips. Search on Bibsonomy DATE The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
19Jai-Ming Lin, Bo-Heng Yu, Li-Yen Chang Regularity-aware routability-driven placement prototyping algorithm for hierarchical mixed-size circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
19Julia Casarin Puget, Andre Saldanha Oliveira, Jorge Seelen, Ricardo Reis 0001 UFRGSPlace: Routability driven FPGA placement algorithm for heterogeneous FPGAs. Search on Bibsonomy ICECS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
19Daohang Shi, Azadeh Davoodi Improving Detailed Routability and Pin Access with 3D Monolithic Standard Cells. Search on Bibsonomy ISPD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
19Wei-Ting Jonas Chan, Pei-Hsin Ho, Andrew B. Kahng, Prashant Saxena Routability Optimization for Industrial Designs at Sub-14nm Process Nodes Using Machine Learning. Search on Bibsonomy ISPD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
19Chak-Wa Pui, Gengjie Chen, Yuzhe Ma, Evangeline F. Y. Young, Bei Yu 0001 Clock-aware ultrascale FPGA placement with machine learning routability prediction: (Invited paper). Search on Bibsonomy ICCAD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
19Wing-Kai Chow, Jian Kuang 0001, Peishan Tu, Evangeline F. Y. Young Fence-aware detailed-routability driven placement. Search on Bibsonomy SLIP The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
19Hong-Yan Su, Shinichi Nishizawa, Yan-Shiun Wu, Jun Shiomi, Yih-Lang Li, Hidetoshi Onodera Pin accessibility evaluating model for improving routability of VLSI designs. Search on Bibsonomy SoCC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
19Wenchao Gao, Tian Gao, Ruijie Zhao 0006, Liumin Wang, Xing Liang Routability-driven legalization algorithm with minimum total movement for supporting agricultural machinery design. Search on Bibsonomy Agro-Geoinformatics The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
19Wing-Kai Chow, Evangeline F. Y. Young Placement: From Wirelength to Detailed Routability. Search on Bibsonomy IPSJ Trans. Syst. LSI Des. Methodol. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
19Aiwu Ruan, Haiyang Huang, Jingwu Wang, Yifan Zhao A Routability-Aware Algorithm for Both Global and Local Interconnect Resource Test and Diagnosis of Xilinx SRAM-FPGAs. Search on Bibsonomy J. Electron. Test. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
19Xu He, Yao Wang 0002, Yang Guo 0003, Evangeline F. Y. Young Ripple 2.0: Improved Movement of Cells in Routability-Driven Placement. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
19Jiajun Shi, Mingyu Li, Santosh Khasanvis, Mostafizur Rahman, Csaba Andras Moritz Routability in 3D IC Design: Monolithic 3D vs. Skybridge 3D CMOS. Search on Bibsonomy CoRR The full citation details ... 2016 DBLP  BibTeX  RDF
19Gong Chen 0002, Bo Liu 0031, Shigetoshi Nakatake, Bo Yang 0004 Routability of twisted common-centroid capacitor array under signal coupling constraints. Search on Bibsonomy MWSCAS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
19Srihari Yechangunja, Raj Shekhar, Mohit Kumar, Nikhil Tripathi, Abhishek Mittal, Abhishek Ranjan, Jianfeng Liu, Minyoung Mo, Kyung Tae Do, Jung Yun Choi, SungHo Park Sequential analysis driven reset optimization to improve power, area and routability. Search on Bibsonomy DATE The full citation details ... 2016 DBLP  BibTeX  RDF
19Yi-Siang Su, Tsung-Yi Ho, Der-Tsai Lee A routability-driven flow routing algorithm for programmable microfluidic devices. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
19André Ivanov, Peter Hallschmid, Zhonghua Zhou Local congestion and blockage aware routability analysis using adaptive flexible modeling. Search on Bibsonomy ICECS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
19Stephen Yang, Aman Gayasen, Chandra Mulpuri, Sainath Reddy, Rajat Aggarwal Routability-Driven FPGA Placement Contest. Search on Bibsonomy ISPD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
19Chak-Wa Pui, Gengjie Chen, Wing-Kai Chow, Ka-Chun Lam, Jian Kuang 0001, Peishan Tu, Hang Zhang 0010, Evangeline F. Y. Young, Bei Yu 0001 RippleFPGA: a routability-driven placement for large-scale heterogeneous FPGAs. Search on Bibsonomy ICCAD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
19Wuxi Li, Shounak Dhar, David Z. Pan UTPlaceF: a routability-driven FPGA placer with physical and congestion aware packing. Search on Bibsonomy ICCAD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
19Jiajun Shi, Mingyu Li, Santosh Khasanvis, Mostafizur Rahman, Csaba Andras Moritz Routability in 3D IC design: Monolithic 3D vs. Skybridge 3D CMOS. Search on Bibsonomy NANOARCH The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
19Wei-Ting Jonas Chan, Yang Du 0001, Andrew B. Kahng, Siddhartha Nath, Kambiz Samadi BEOL stack-aware routability prediction from placement using data mining techniques. Search on Bibsonomy ICCD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
19Oleg Petelin, Vaughn Betz Wotan: A tool for rapid evaluation of FPGA architecture routability without benchmarks. Search on Bibsonomy FPL The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
19Qin Wang 0005, Weiran He, Hailong Yao, Tsung-Yi Ho, Yici Cai SVM-Based Routability-Driven Chip-Level Design for Voltage-Aware Pin-Constrained EWOD Chips. Search on Bibsonomy ISPD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
19Chun-Kai Wang, Chuan-Chia Huang, Shih-Ying Sean Liu, Ching-Yu Chin, Sheng-Te Hu, Wei-Chen Wu, Hung-Ming Chen Closing the Gap between Global and Detailed Placement: Techniques for Improving Routability. Search on Bibsonomy ISPD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
19Chau-Chin Huang, Hsin-Ying Lee, Bo-Qiao Lin, Sheng-Wei Yang, Chin-Hao Chang, Szu-To Chen, Yao-Wen Chang Detailed-Routability-Driven Analytical Placement for Mixed-Size Designs with Technology and Region Constraints. Search on Bibsonomy ICCAD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
19Jai-Ming Lin, Chih-Yao Hu, Kai-Chung Chan Routability-driven floorplanning algorithm for mixed-size modules with fixed-outline constraint. Search on Bibsonomy VLSI-DAT The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
19Wen-Hsiang Chang, Mango Chia-Tso Chao, Shi-Hao Chen Practical Routability-Driven Design Flow for Multilayer Power Networks Using Aluminum-Pad Layer. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Meng-Kai Hsu, Yi-Fang Chen, Chau-Chin Huang, Sheng Chou, Tzu-Hen Lin, Tung-Chieh Chen, Yao-Wen Chang NTUplace4h: A Novel Routability-Driven Placement Algorithm for Hierarchical Mixed-Size Circuit Designs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Yaoguang Wei, Cliff C. N. Sze, Natarajan Viswanathan, Zhuo Li 0001, Charles J. Alpert, Lakshmi N. Reddy, Andrew D. Huber, Gustavo E. Téllez, Douglas Keller, Sachin S. Sapatnekar Techniques for scalable and effective routability evaluation. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Saif-Ur Rehman, Adrien Blanchardon, Arwa Ben Dhia, Mounir Benabdenbi, Roselyne Chotin-Avot, Lirida A. B. Naviner, Lorena Anghel, Habib Mehrez, Emna Amouri, Zied Marrakchi Impact of Cluster Size on Routability, Testability and Robustness of a Cluster in a Mesh FPGA. Search on Bibsonomy ISVLSI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Ka-Chun Lam, Wai-Chung Tang, Evangeline F. Y. Young A scalable routability-driven analytical placer with global router integration for FPGAs (abstract only). Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Wenyi Feng, Jonathan W. Greene, Kristofer Vorwerk, Val Pevzner, Arun Kundu Rent's rule based FPGA packing for routability optimization. Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Wen-Hao Liu, Tzu-Kai Chien, Ting-Chi Wang Metal layer planning for silicon interposers with consideration of routability and manufacturing cost. Search on Bibsonomy DATE The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Shuai Li, Cheng-Kok Koh Analytical placement of mixed-size circuits for better detailed-routability. Search on Bibsonomy ASP-DAC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Meng-Ling Chen, Tu-Hsiung Tsai, Hung-Ming Chen, Shi-Hao Chen Routability-driven bump assignment for chip-package co-design. Search on Bibsonomy ASP-DAC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Yuan Wang, Simon J. Bale, James Alfred Walker, Martin A. Trefzer, Andy M. Tyrrell Multiobjective genetic algorithm for routability-driven circuit clustering on FPGAs. Search on Bibsonomy ICES The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Yi-Fang Chen, Chau-Chin Huang, Chien-Hsiung Chiou, Yao-Wen Chang, Chang-Jen Wang Routability-Driven Blockage-Aware Macro Placement. Search on Bibsonomy DAC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Tao Lin 0007, Chris Chu POLAR 2.0: An Effective Routability-Driven Placer. Search on Bibsonomy DAC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Hanyu Liu, Senthilkumar Thoravi Rajavel, Ali Akoglu Integration of Net-Length Factor with Timing- and Routability-Driven Clustering Algorithms. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Joydip Das, Steven J. E. Wilton Towards development of an analytical model relating FPGA architecture parameters to routability. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Chao-Hung Lu, Hung-Ming Chen, Chien-Nan Jimmy Liu, Wen-Yu Shih Package routability- and IR-drop-aware finger/pad planning for single chip and stacking IC designs. Search on Bibsonomy Integr. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Zhi-Wei Chen, Jin-Tai Yan Routability-constrained multi-bit flip-flop construction for clock power reduction. Search on Bibsonomy Integr. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Yanheng Zhang, Chris Chu Fast and Effective Placement Refinement for Routability. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Xu He, Tao Huang 0016, Linfu Xiao, Haitong Tian, Evangeline F. Y. Young Ripple: A Robust and Effective Routability-Driven Placer. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Wafaa A. H. Ali Alsalihy, Majed Salam S. Alsayfi Integrating Identity-Based Encryption in the Return Routability Protocol to Enhance Signal Security in Mobile IPv6. Search on Bibsonomy Wirel. Pers. Commun. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Mei-Hsiang Tsai, Po-Yang Hsu, Hung-Yi Li, Yi-Huang Hung, Yi-Yu Liu Routability optimization for crossbar-switch structured ASIC design. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Jason Cong, Guojie Luo, Kalliopi Tsota, Bingjun Xiao Optimizing routability in large-scale mixed-size placement. Search on Bibsonomy ASP-DAC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Wen-Hao Liu, Cheng-Kok Koh, Yih-Lang Li Case study for placement solutions in ispd11 and dac12 routability-driven placement contests. Search on Bibsonomy ISPD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Faisal Al Hawi, Chan Yeob Yeun, Khaled Salah 0001 Secure Framework for the Return Routability Procedure in MIPv6. Search on Bibsonomy GreenCom/iThings/CPScom The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Xu He, Tao Huang 0016, Wing-Kai Chow, Jian Kuang 0001, Ka-Chun Lam, Wenzan Cai, Evangeline F. Y. Young Ripple 2.0: high quality routability-driven placement via global router integration. Search on Bibsonomy DAC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Wen-Hao Liu, Cheng-Kok Koh, Yih-Lang Li Optimization of placement solutions for routability. Search on Bibsonomy DAC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Meng-Kai Hsu, Yi-Fang Chen, Chau-Chin Huang, Tung-Chieh Chen, Yao-Wen Chang Routability-driven placement for hierarchical mixed-size circuit designs. Search on Bibsonomy DAC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Cheng-Wu Lin, Cheng-Chung Lu, Jai-Ming Lin, Soon-Jyh Chang Routability-driven placement algorithm for analog integrated circuits. Search on Bibsonomy ISPD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
19Natarajan Viswanathan, Charles J. Alpert, Cliff C. N. Sze, Zhuo Li 0001, Yaoguang Wei ICCAD-2012 CAD contest in design hierarchy aware routability-driven placement and benchmark suite. Search on Bibsonomy ICCAD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
19Insup Shin, Donkyu Baek, Youngsoo Shin Introducing irregularity to routing architecture of structured ASIC for better routability. Search on Bibsonomy FPT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
19Yanheng Zhang, Chris Chu GDRouter: interleaved global routing and detailed routing for ultimate routability. Search on Bibsonomy DAC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
19Natarajan Viswanathan, Charles J. Alpert, Cliff C. N. Sze, Zhuo Li 0001, Yaoguang Wei The DAC 2012 routability-driven placement contest and benchmark suite. Search on Bibsonomy DAC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
19Yaoguang Wei, Cliff C. N. Sze, Natarajan Viswanathan, Zhuo Li 0001, Charles J. Alpert, Lakshmi N. Reddy, Andrew D. Huber, Gustavo E. Téllez, Douglas Keller, Sachin S. Sapatnekar GLARE: global and local wiring aware routability evaluation. Search on Bibsonomy DAC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
19Mohamed Amine Abid, Abdelfettah Belghith Stability routing with constrained path length for improved routability in dynamic MANETs. Search on Bibsonomy Pers. Ubiquitous Comput. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19Lakshmi Easwaran, Ali Akoglu Net-length-based routability-driven power-aware clustering. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19Girish N. Nair Structural Routability of n-Pairs Information Networks Search on Bibsonomy CoRR The full citation details ... 2011 DBLP  BibTeX  RDF
19Joydip Das, Steven J. E. Wilton An analytical model relating FPGA architecture parameters to routability. Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19Fong-Yuan Chang, Sheng-Hsiung Chen, Ren-Song Tsay, Wai-Kei Mak Cut-demand based routing resource allocation and consolidation for routability enhancement. Search on Bibsonomy ASP-DAC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19Natarajan Viswanathan, Charles J. Alpert, Cliff C. N. Sze, Zhuo Li 0001, Gi-Joon Nam, Jarrod A. Roy The ISPD-2011 routability-driven placement contest and benchmark suite. Search on Bibsonomy ISPD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19Xu He, Tao Huang 0016, Linfu Xiao, Haitong Tian, Guxin Cui, Evangeline F. Y. Young Ripple: An effective routability-driven placer by iterative cell movement. Search on Bibsonomy ICCAD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19Myung-Chul Kim, Jin Hu, Dongjin Lee, Igor L. Markov A SimPLR method for routability-driven placement. Search on Bibsonomy ICCAD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19Meng-Kai Hsu, Sheng Chou, Tzu-Hen Lin, Yao-Wen Chang Routability-driven analytical placement for mixed-size circuit designs. Search on Bibsonomy ICCAD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19Tilo Meister, Jens Lienig, Gisbert Thomke Interface optimization for improved routability in chip-package-board co-design. Search on Bibsonomy SLIP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19Yang-Yang Li, Logan M. Rakai, Laleh Behjat, Bill Swartz Wirelength and congestion estimation for routability-driven placement. Search on Bibsonomy SLIP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19Jin-Tai Yan, Tung-Yen Sung, Zhi-Wei Chen Simultaneous escape routing based on routability-driven net ordering. Search on Bibsonomy SoCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19Zhongdong Qi, Qiang Zhou 0001, Yanming Jia, Yici Cai, Zhuoyuan Li, Hailong Yao A novel fine-grain track routing approach for routability and crosstalk optimization. Search on Bibsonomy ISQED The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19Mariem Turki, Mohamed Abid, Zied Marrakchi, Habib Mehrez Routability driven placement for mesh-based FPGA architecture. Search on Bibsonomy IDT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
19Yi-Lin Chuang, Gi-Joon Nam, Charles J. Alpert, Yao-Wen Chang, Jarrod A. Roy, Natarajan Viswanathan Design-hierarchy aware mixed-size placement for routability optimization. Search on Bibsonomy ICCAD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
19Zhi-Wei Chen, Jin-Tai Yan Routability-driven flip-flop merging process for clock power reduction. Search on Bibsonomy ICCD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
19Jin-Tai Yan, Ke-Chyuan Chen, Zhi-Wei Chen Routability-driven RDL routing with pin reassignment. Search on Bibsonomy SoCC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
19Jin-Tai Yan, Kai-Ping Lu, Zhi-Wei Chen Routability-driven partitioning-based IO assignment for flip-chip designs. Search on Bibsonomy APCCAS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
19Steve T. W. Lai, Evangeline F. Y. Young, Chris C. N. Chu Handling routability in floorplan design with twin binary trees. Search on Bibsonomy Integr. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Yoichi Tomioka, Atsushi Takahashi 0001 Routability Driven Via Assignment Method for 2-Layer Ball Grid Array Packages. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Hengky Susanto, Byung-Guk Kim Per-Connection Return Routability Test in Mobile IPv6. Search on Bibsonomy NBiS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19JIanDe Yu, Jinmei Lai A novel minloop SB design to improve FPGA routability. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF minimum-loop-size maximization method, minloop switch box, routing resources design, fpga
19Chao-Hung Lu, Hung-Ming Chen, Chien-Nan Jimmy Liu, Wen-Yu Shih Package routability- and IR-drop-aware finger/pad assignment in chip-package co-design. Search on Bibsonomy DATE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Ke-Ren Dai, Chien-Hung Lu, Yih-Lang Li GRPlacer: Improving routability and wire-length of global routing with circuit replacement. Search on Bibsonomy ICCAD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Kalliopi Tsota, Cheng-Kok Koh, Venkataramanan Balakrishnan A study of routability estimation and clustering in placement. Search on Bibsonomy ICCAD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Tsung-Wei Huang, Tsung-Yi Ho A fast routability- and performance-driven droplet routing algorithm for digital microfluidic biochips. Search on Bibsonomy ICCD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Ali Jahanian 0001, Morteza Saheb Zamani Using metro-on-chip in physical design flow for congestion and routability improvement. Search on Bibsonomy Microelectron. J. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Wai-Chung Tang, Catherine L. Zhou, Yu-Liang Wu A Quantitative Study of the Routing Architecture Exploring Routing Locality Property for Better Performance and Routability. Search on Bibsonomy ERSA The full citation details ... 2008 DBLP  BibTeX  RDF
19Yoichi Tomioka, Atsushi Takahashi 0001 Routability driven modification method of monotonic via assignment for 2-layer Ball Grid Array packages. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Audip Pandit, Lakshmi Easwaran, Ali Akoglu Concurrent timing based and routability driven depopulation technique for FPGA packing. Search on Bibsonomy FPT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 524 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license