The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for stall with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1984-1995 (15) 1996-1997 (15) 1998-2000 (28) 2001-2002 (29) 2003 (15) 2004 (16) 2005 (17) 2006 (16) 2007 (15) 2008 (15) 2009-2010 (22) 2011-2013 (15) 2014-2016 (25) 2017-2018 (24) 2019-2020 (32) 2021-2022 (22) 2023 (15) 2024 (5)
Publication types (Num. hits)
article(132) incollection(1) inproceedings(206) phdthesis(2)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 223 occurrences of 175 keywords

Results
Found 345 publication records. Showing 341 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
21Mazen Alamir Nonlinear robust controller for rotating stall and surge in axial flow compressors. Search on Bibsonomy CDC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21Thuyen Le, Manfred Glesner Rotating stall analysis using signal-adapted filter bank and Cohen's time-frequency distributions. Search on Bibsonomy ISCAS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21Guoxiang Gu, Andrew G. Sparks, Siva S. Banda An overview of rotating stall and surge control for axial flow compressors. Search on Bibsonomy IEEE Trans. Control. Syst. Technol. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
21Wassim M. Haddad, Alexander Leonessa, VijaySekhar Chellaboina, Jerry L. Fausz Nonlinear robust disturbance rejection controllers for rotating stall and surge in axial flow compressors. Search on Bibsonomy IEEE Trans. Control. Syst. Technol. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
21Miroslav Krstic, Daniel Fontaine, Petar V. Kokotovic, James Paduano Useful nonlinearities and global stabilization of bifurcations in a model of jet engine surge and stall. Search on Bibsonomy IEEE Trans. Autom. Control. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
21Xiang Chen 0011, Guoxiang Gu, Phillip Martin, Kemin Zhou Rotating Stall Control via Bifurcation Stabilization. Search on Bibsonomy Autom. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
21Susanne Albers, Naveen Garg 0001, Stefano Leonardi 0001 Minimizing Stall Time in Single and Parallel Disk Systems. Search on Bibsonomy STOC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
21Thuyen Le, Thomas Dombek, Manfred Glesner Sound signature analysis using time-frequency signal processing: Application to active stall avoidance in axial compressors. Search on Bibsonomy EUSIPCO The full citation details ... 1998 DBLP  BibTeX  RDF
21Der-Cherng Liaw, Eyad H. Abed Active control of compressor stall inception: a bifurcation-theoretic approach. Search on Bibsonomy Autom. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
21Gregory P. Garvey The smart stall. Search on Bibsonomy SIGGRAPH Visual Proceedings The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
21James D. Paduano, Lena S. Valavani, A. H. Epstein, Edward M. Greitzer, G. R. Guenette Modeling for control of rotating stall. Search on Bibsonomy Autom. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
21John D. Musa Performance analysis rat holes to avoid or how to stall a performance presentation. Search on Bibsonomy ACM SIGSOFT Softw. Eng. Notes The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
13Matt Billings, Leon Adam Watts Understanding dispute resolution online: using text to reflect personal and substantive issues in conflict. Search on Bibsonomy CHI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF computer-mediated communication, wikipedia, virtual communities, conflict, online dispute resolution
13Georgios Keramidas, Vasileios Spiliopoulos, Stefanos Kaxiras Interval-based models for run-time DVFS orchestration in superscalar processors. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF performance and power modeling, superscalar out-of-order processors, dynamic voltage and frequency scaling
13Reetuparna Das, Onur Mutlu, Thomas Moscibroda, Chita R. Das Aérgia: exploiting packet latency slack in on-chip networks. Search on Bibsonomy ISCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF multi-core, packet scheduling, memory systems, arbitration, prioritization, on-chip networks
13Surendra Byna, Yong Chen 0001, Xian-He Sun Taxonomy of Data Prefetching for Multicore Processors. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF taxonomy of prefetching strategies, memory hierarchy, multicore processors, data prefetching
13Christoph Quirin Lauter, Vincent Lefèvre An Efficient Rounding Boundary Test for {rm pow}(x, y) in Double Precision. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
13Stijn Eyerman, Lieven Eeckhout Memory-level parallelism aware fetch policies for simultaneous multithreading processors. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Fetch Policy, Simultaneous Multithreading (SMT), Memory-Level Parallelism (MLP)
13Ismo Hänninen, Jarmo Takala Radix-4 Recoded Multiplier on Quantum-Dot Cellular Automata. Search on Bibsonomy SAMOS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF nanotechnology, multiplication, arithmetic, QCA
13Haomiao Huang, Gabriel M. Hoffmann, Steven Lake Waslander, Claire J. Tomlin Aerodynamics and control of autonomous quadrotor helicopters in aggressive maneuvering. Search on Bibsonomy ICRA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
13Geoffrey Blake, Ronald G. Dreslinski, Trevor N. Mudge Proactive transaction scheduling for contention management. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF proactive scheduling, software runtime, hardware transactional memory
13Uwe Brinkschulte, Daniel Lohn, Mathias Pacher Towards a Statistical Model of a Microprocessor's Throughput by Analyzing Pipeline Stalls. Search on Bibsonomy SEUS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
13Gerardo Gonzalez, Dean F. Hougen Elitism, fitness, and growth. Search on Bibsonomy GECCO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF genetic algorithms, resilience, fitness, bloat, elitism
13Kaustav Banerjee Graphene based nanomaterials for VLSI interconnect and energy-storage applications. Search on Bibsonomy SLIP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF carbon nanomaterials, graphene nano-ribbons, interconnects, carbon nanotubes, passives
13Garo Bournoutian, Alex Orailoglu Reducing impact of cache miss stalls in embedded systems by extracting guaranteed independent instructions. Search on Bibsonomy CASES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF compiler assisted hardware, pipeline stalls, embedded processors, data cache
13Andrew D. Hilton, Amir Roth Decoupled store completion/silent deterministic replay: enabling scalable data memory for CPR/CFP processors. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF checkpoint processors, load-store queues
13Eriko Nurvitadhi, Jumnit Hong, Shih-Lien Lu Active Cache Emulator. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Ke Xu 0014, Chiu-sing Choy A Five-Stage Pipeline, 204 Cycles/MB, Single-Port SRAM-Based Deblocking Filter for H.264/AVC. Search on Bibsonomy IEEE Trans. Circuits Syst. Video Technol. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Silvia Ferrari, James Edward Steck, Rajeev Chandramohan Adaptive Feedback Control by Constrained Approximate Dynamic Programming. Search on Bibsonomy IEEE Trans. Syst. Man Cybern. Part B The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Wonchul Lee, Hyojin Choi, Wonyong Sung Algorithm and Software Optimization of Variable Block Size Motion Estimation for H.264/AVC on a VLIW-SIMD DSP. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF variable block size motion estimation, H.264/AVC encoder, VLIW (very long instruction word), SIMD (single instruction multiple data)
13Greg Hoover, Forrest Brewer Synthesizing Synchronous Elastic Flow Networks. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Sebastian Winkel, Rakesh Krishnaiyer, Robyn Sampson Latency-tolerant software pipelining in a production compiler. Search on Bibsonomy CGO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF latency-tolerant scheduling, load clustering, compiler, code generation, prefetching, software pipelining, modulo scheduling, memory latency, memory-level parallelism, itanium, epic
13Claudio Brunelli, Fabio Garzia, Carmelo Giliberto, Jari Nurmi A dedicated DMA logic addressing a time multiplexed memory to reduce the effects of the system bus bottleneck. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Kevin Schaffer, Robert A. Walker 0001 Using hardware multithreading to overcome broadcast/reduction latency in an associative SIMD processor. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Suhyun Kim, Soo-Mook Moon Rotating register allocation with multiple rotating branches. Search on Bibsonomy ICS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF rotating register, register allocation, software pipelining
13Patrick O. Stalph, Martin V. Butz Towards increasing learning speed and robustness of XCSF: experimenting with larger offspring set sizes. Search on Bibsonomy GECCO (Companion) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF reproduction, learning classifier systems, selection pressure, XCSF
13Fuxing Zhang, Rong Zhu, Peng Liu, Wei Xiong, Xudong Liu, Zhao-Ying Zhou A Novel Micro Air Vehicle with Flexible Wing Integrated with On-board Electronic Devices. Search on Bibsonomy RAM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Maria Ebling, Eyal de Lara New Products. Search on Bibsonomy IEEE Pervasive Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Yoggie Pico, Photo Violation Technologies, PhotoViolationMeter, head-mounted cameras, GrandCentral, me.dium
13Suhyun Kim, Soo-Mook Moon Rotating Register Allocation for Enhanced Pipeline Scheduling. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Michael L. Chu, Rajiv A. Ravindran, Scott A. Mahlke Data Access Partitioning for Fine-grain Parallelism on Multicore Architectures. Search on Bibsonomy MICRO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Chris Grzegorczyk, Sunil Soman, Chandra Krintz, Richard Wolski Isla Vista Heap Sizing: Using Feedback to Avoid Paging. Search on Bibsonomy CGO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Stijn Eyerman, Lieven Eeckhout A Memory-Level Parallelism Aware Fetch Policy for SMT Processors. Search on Bibsonomy HPCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Dongmyoung Kim, Youngkyu Choi, Sunggeun Jin, Kwanghun Han, Sunghyun Choi 0001 CL-ARQ: efficient ARQ for two-layer retransmission schemes. Search on Bibsonomy IWCMC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF cross-layer approach, hybrid ARQ (HARQ), automatic repeat request (ARQ)
13Taeweon Suh, Shih-Lien Lu, Hsien-Hsin S. Lee An FPGA Approach to Quantifying Coherence Traffic Efficiency on Multiprocessor Systems. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Gennaro Cordasco, Grzegorz Malewicz, Arnold L. Rosenberg Applying IC-Scheduling Theory to Familiar Classes of Computations. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Phil Thompson, Rahat Iqbal, Anne E. James CSCW Design Team Selection and Issue Management. Search on Bibsonomy CSCWD (Selected Papers) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Common Ground Theory, Issue Based Information System, CSCW, Collaborative Working
13Saied Yousefi, Keith W. Hipel, Tarek Hegazy, James A. Witmer, Peter Gray Negotiationcharacteristics in brownfield redevelopment projects. Search on Bibsonomy SMC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Donnie H. Kim, Rajeev Gandhi, Priya Narasimhan Castor: Secure Code Updates Using Symmetric Cryptosystems. Search on Bibsonomy RTSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Hyojin Choi, Wonchul Lee, Wonyong Sung Memory Access Reduced Software Implementation of H.264/AVC Sub-pixel Motion Estimation Using Differential Data Encoding. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Dawei Liu, Shan Wang 0001, Biao Qin, Weiwei Gong Characterizing DSS Workloads from the Processor Perspective. Search on Bibsonomy APWeb/WAIM Workshops The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Ram Srinivasan, Jeanine E. Cook, Olaf M. Lubeck Performance modeling using Monte Carlo simulation. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Jumnit Hong, Eriko Nurvitadhi, Shih-Lien Lu Design, implementation, and verification of active cache emulator (ACE). Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF FPGA-based emulator, real-time emulation, cache modeling
13Barry Smyth Social and personal: communities and collaboration in adaptive web search. Search on Bibsonomy IIiX The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Matthew Curtis-Maury, Dimitrios S. Nikolopoulos, Christos D. Antonopoulos PACMAN: A PerformAnce Counters MANager for Intel Hyperthreaded Processors. Search on Bibsonomy QEST The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Serkan Ozdemir, Debjit Sinha, Gokhan Memik, Jonathan Adams, Hai Zhou 0001 Yield-Aware Cache Architectures. Search on Bibsonomy MICRO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Song Peng, Rajit Manohar Self-Healing Asynchronous Arrays. Search on Bibsonomy ASYNC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Fredy Rivera, Marcos Sánchez-Élez, Milagros Fernández, Román Hermida, Nader Bagherzadeh Configuration Scheduling for Conditional Branch Execution Onto Multi-Context Reconfigurable Architectures. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Alex Brooks, Alexei Makarenko, Ben Upcroft, Hugh F. Durrant-Whyte Learning Informative Features for Indoor Traversability. Search on Bibsonomy ISER The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Blair Fort, Davor Capalija, Zvonko G. Vranesic, Stephen Dean Brown A Multithreaded Soft Processor for SoPC Area Reduction. Search on Bibsonomy FCCM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Zarka Cvetanovic Performance tools - Performance tools for large-scale clusters. Search on Bibsonomy SC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Zachary K. Baker, Viktor K. Prasanna A computationally efficient engine for flexible intrusion detection. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Raj Subbu, Kai Goebel, Dean K. Frederick Evolutionary design and optimization of aircraft engine controllers. Search on Bibsonomy IEEE Trans. Syst. Man Cybern. Part C The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Barry Smyth Adaptive information access and the quest for the personalization-privacy sweetspot. Search on Bibsonomy IUI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Pierre Salverda, Craig B. Zilles A Criticality Analysis of Clustering in Superscalar Processors. Search on Bibsonomy MICRO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Marc Berndl, Benjamin Vitale, Mathew Zaleski, Angela Demke Brown Context Threading: A Flexible and Efficient Dispatch Technique for Virtual Machine Interpreters. Search on Bibsonomy CGO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Ravi K. Venkatesan, Ahmed S. Al-Zawawi, Eric Rotenberg Tapping ZettaRAMTM for Low-Power Memory Systems. Search on Bibsonomy HPCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Antonio Pullini, Federico Angiolini, Davide Bertozzi, Luca Benini Fault tolerance overhead in network-on-chip flow control schemes. Search on Bibsonomy SBCCI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF fault tolerance, network on chip, error correction, flow control
13Weng-Fai Wong Targeted Data Prefetching. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Sergey Andronenko, Ion Stiharu, Muthukuruman Packirisamy The Use of Microelectromechanical Systems for Surge Detection in Gas Turbine Engines. Search on Bibsonomy ICMENS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Thomas J. Hacker, Brian D. Noble, Brian D. Athey Adaptive data block scheduling for parallel TCP streams. Search on Bibsonomy HPDC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Matthew Dunbabin, Peter I. Corke Autonomous Excavation Using a Rope Shovel. Search on Bibsonomy FSR The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Vidyasagar Nookala, Ying Chen, David J. Lilja, Sachin S. Sapatnekar Microarchitecture-aware floorplanning using a statistical design of experiments approach. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF floorplanning, microarchitecture, wire pipelining
13Chia-Lin Yang, Alvin R. Lebeck, Hung-Wei Tseng 0001, Chien-Hao Lee Tolerating memory latency through push prefetching for pointer-intensive applications. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF linked data structures, pointer-chasing, Prefetch, memory hierarchy
13Milenko Drinic, Darko Kirovski A Hardware-Software Platform for Intrusion Prevention. Search on Bibsonomy MICRO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
13Jian Li 0059, José F. Martínez, Michael C. Huang 0001 The Thrifty Barrier: Energy-Aware Synchronization in Shared-Memory Multiprocessors. Search on Bibsonomy HPCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
13Motonobu Fujita, Masaaki Kondo, Hiroshi Nakamura Data Movement Optimization for Software-Controlled On-Chip Memory. Search on Bibsonomy Interaction between Compilers and Computer Architectures The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
13Motohiro Kawahito, Hideaki Komatsu, Toshio Nakatani Instruction combining for coalescing memory accesses using global code motion. Search on Bibsonomy Memory System Performance The full citation details ... 2004 DBLP  DOI  BibTeX  RDF instruction combining, memory access coalescing, Java, JIT compilers, IA-64, 64-bit architectures
13Mark Allen Nakamura Creating a new channel for campus communication. Search on Bibsonomy SIGUCCS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF network graphics, plasma displays, multimedia, video, graphic systems
13Ahmed Abd El Al, Tarek N. Saadawi, Myung J. Lee A Transport Layer Load Sharing Mechanism for Mobile Wireless Hosts. Search on Bibsonomy PerCom Workshops The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
13Akinori Saitoh, Hideo Masuda A Transparent Session Migration and Transparent Fail-Over Protocol for PPPoE Server Cluster. Search on Bibsonomy SAINT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
13Wlodzimierz M. Zuberek Enhanced Interleaved Multithreaded Multiprocessors and Their Performance Analysis. Search on Bibsonomy ACSD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Interleaved multithreaded architectures, performance analysis, timed Petri nets, distributed-memory multiprocessors, event-driven simulation
13Ali-Reza Adl-Tabatabai, Richard L. Hudson, Mauricio J. Serrano, Sreenivas Subramoney Prefetch inection based on hardware monitoring and object metadata. Search on Bibsonomy PLDI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF virtual machines, garbage collection, prefetching, compiler optimization, cache misses, profile-guided optimization
13Christoph Ambühl, Birgitta Weber Parallel Prefetching and Caching Is Hard. Search on Bibsonomy STACS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
13Kihwan Choi, Ramakrishna Soma, Massoud Pedram Off-chip latency-driven dynamic voltage and frequency scaling for an MPEG decoding. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF MPEG decoding, voltage and frequency scaling, low power
13Murali Annavaram, Jignesh M. Patel, Edward S. Davidson Call graph prefetching for database applications. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Instruction cache prefetching, database, call graph
13Susan A. Brown, Viswanath Venkatesh Bringing non-adopters along: the challenge facing the PC industry. Search on Bibsonomy Commun. ACM The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
13Hassan Al-Sukhni, Ian Bratt, Daniel A. Connors Compiler-Directed Content-Aware Prefetching for Dynamic Data Structures. Search on Bibsonomy IEEE PACT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
13Weihaw Chuang, Brad Calder, Jeanne Ferrante Phi-Predication for Light-Weight If-Conversion. Search on Bibsonomy CGO The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
13Enric Gibert, F. Jesús Sánchez, Antonio González 0001 Local Scheduling Techniques for Memory Coherence in a Clustered VLIW Processor with a Distributed Data Cache. Search on Bibsonomy CGO The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
13Masamichi Takagi, Kei Hiraki Compression in Data Caches with Compressible Field Isolation for Recursive Data Structures. Search on Bibsonomy Euro-Par The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
13Weihaw Chuang, Brad Calder Predicate prediction for efficient out-of-order execution. Search on Bibsonomy ICS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF predicate prediction, predicated execution
13Ayose Falcón, Oliverio J. Santana, Alex Ramírez, Mateo Valero Tolerating Branch Predictor Latency on SMT. Search on Bibsonomy ISHPC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF branch predictor delay, decoupled fetch, predictorpipelining, SMT
13Masamichi Takagi, Kei Hiraki Field Array Compression in Data Caches for Dynamically Allocated Recursive Data Structure. Search on Bibsonomy ISHPC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
13Suhyun Kim, Soo-Mook Moon, Jinpyo Park, Kemal Ebcioglu Unroll-Based Copy Elimination for Enhanced Pipeline Scheduling. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF enhanced pipeline scheduling, unrolling, modulo variable expansion, iterated coalescing, register allocation, Software pipelining, modulo scheduling, renaming, coalescing
13Mariagiovanna Sami, Donatella Sciuto, Cristina Silvano, Vittorio Zaccaria An instruction-level energy model for embedded VLIW architectures. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
13Anastassia Ailamaki, David J. DeWitt, Mark D. Hill Data page layouts for relational databases on deep memory hierarchies. Search on Bibsonomy VLDB J. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Relational data placement, Disk page layout, Cache-conscious database systems
13Zhichun Zhu, Zhao Zhang 0010, Xiaodong Zhang 0001 Fine-Grain Priority Scheduling on Multi-Channel Memory Systems. Search on Bibsonomy HPCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF DRAM configurations, fine-grain priority scheduling, memory-intensive applications and multi-channel memory systems
13Marcelo H. Cintra, Josep Torrellas Speculative Multithreading Eliminating Squashes through Learning Cross-Thread Violations in Speculative Parallelization for Multiprocessors. Search on Bibsonomy HPCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Shared-Memory Multiprocessors, Speculative Parallelization
13Hans M. Jacobson, Prabhakar Kudva, Pradip Bose, Peter W. Cook, Stanley Schuster Synchronous Interlocked Pipelines. Search on Bibsonomy ASYNC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF progressive stalls, synchronous, Pipeline, asynchronous, clock gating, elastic, interlocked
13Christophe Rippert, Jean-Bernard Stefani THINK: a secure distributed systems architecture. Search on Bibsonomy ACM SIGOPS European Workshop The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 341 (100 per page; Change: )
Pages: [<<][1][2][3][4][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license