|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 9462 occurrences of 2787 keywords
|
|
|
Results
Found 15666 publication records. Showing 15666 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
31 | Mizanur Rahman |
Cache-Oblivious Scanline Algorithm Design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CGIV ![In: 4th International Conference on Computer Graphics, Imaging and Visualization (CGIV 2007), August 14-16, 2007, Bangkok, Thailand, pp. 22-30, 2007, IEEE Computer Society, 0-7695-2928-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
scanline algorithms, cacheoblivious model, RAM model and divide and conquer approach |
31 | Hyunjin Lee, Sangyeun Cho, Bruce R. Childers |
Performance of Graceful Degradation for Cache Faults. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISVLSI ![In: 2007 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2007), May 9-11, 2007, Porto Alegre, Brazil, pp. 409-415, 2007, IEEE Computer Society, 0-7695-2896-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
31 | Arkaprava Basu, Nevin Kirman, Meyrem Kirman, Mainak Chaudhuri, José F. Martínez |
Scavenger: A New Last Level Cache Architecture with Global Block Priority. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-40 2007), 1-5 December 2007, Chicago, Illinois, USA, pp. 421-432, 2007, IEEE Computer Society, 0-7695-3047-8. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
31 | Xiaodan Wang, Tanu Malik, Randal C. Burns, Stratos Papadomanolakis, Anastassia Ailamaki |
A Workload-Driven Unit of Cache Replacement for Mid-Tier Database Caching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DASFAA ![In: Advances in Databases: Concepts, Systems and Applications, 12th International Conference on Database Systems for Advanced Applications, DASFAA 2007, Bangkok, Thailand, April 9-12, 2007, Proceedings, pp. 374-385, 2007, Springer, 978-3-540-71702-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
31 | Kyunghwa Kim, Junho Shim, Ig-hoon Lee |
Cache Conscious Trees: How Do They Perform on Contemporary Commodity Microprocessors? ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCSA (1) ![In: Computational Science and Its Applications - ICCSA 2007, International Conference, Kuala Lumpur, Malaysia, August 26-29, 2007. Proceedings, Part I, pp. 189-200, 2007, Springer, 978-3-540-74468-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
31 | Xuemei Zhao, Karl Sammut, Fangpo He |
Performance Evaluation of a Novel CMP Cache Structure for Hybrid Workloads. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDCAT ![In: Eighth International Conference on Parallel and Distributed Computing, Applications and Technologies (PDCAT 2007), 3-6 December 2007, Adelaide, Australia, pp. 89-96, 2007, IEEE Computer Society, 0-7695-3049-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
31 | Michael Penner, Viktor K. Prasanna |
Cache-Friendly implementations of transitive closure. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM J. Exp. Algorithmics ![In: ACM J. Exp. Algorithmics 11, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Floyd-Warshall algorithm, systolic array algorithms, Data structures |
31 | Michael Neve, Jean-Pierre Seifert |
Advances on Access-Driven Cache Attacks on AES. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Selected Areas in Cryptography ![In: Selected Areas in Cryptography, 13th International Workshop, SAC 2006, Montreal, Canada, August 17-18, 2006 Revised Selected Papers, pp. 147-162, 2006, Springer, 978-3-540-74461-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
31 | Michael Bader, Christian E. Mayer |
Cache Oblivious Matrix Operations Using Peano Curves. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PARA ![In: Applied Parallel Computing. State of the Art in Scientific Computing, 8th International Workshop, PARA 2006, Umeå, Sweden, June 18-21, 2006, Revised Selected Papers, pp. 521-530, 2006, Springer, 978-3-540-75754-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
31 | Ravishankar Rao, Justin Wenck, Diana Franklin, Rajeevan Amirtharajah, Venkatesh Akella |
Segmented Bitline Cache: Exploiting Non-uniform Memory Access Patterns. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HiPC ![In: High Performance Computing - HiPC 2006, 13th International Conference, Bangalore, India, December 18-21, 2006, Proceedings, pp. 123-134, 2006, Springer, 3-540-68039-X. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
31 | Javed Absar, Francky Catthoor |
Analysis of scratch-pad and data-cache performance using statistical methods. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, Yokohama, Japan, January 24-27, 2006, pp. 820-825, 2006, IEEE, 0-7803-9451-8. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
31 | Milene Barbosa Carvalho, Luís F. W. Góes, Carlos Augusto Paiva da Silva Martins |
Dynamically reconfigurable cache architecture using adaptive block allocation policy. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 20th International Parallel and Distributed Processing Symposium (IPDPS 2006), Proceedings, 25-29 April 2006, Rhodes Island, Greece, 2006, IEEE. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
31 | Resit Sendag, Ayse Yilmazer, Joshua J. Yi, Augustus K. Uht |
Quantifying and reducing the effects of wrong-path memory references in cache-coherent multiprocessor systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 20th International Parallel and Distributed Processing Symposium (IPDPS 2006), Proceedings, 25-29 April 2006, Rhodes Island, Greece, 2006, IEEE. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
31 | George Alyfantis, Stathes Hadjiefthymiades, Lazaros F. Merakos, Panagiotis Kostopoulos |
A Distributed Algorithm for Sharing Web Cache Disk Capacity. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPADS (1) ![In: 12th International Conference on Parallel and Distributed Systems, ICPADS 2006, Minneapolis, Minnesota, USA, July 12-15, 2006, pp. 457-464, 2006, IEEE Computer Society, 0-7695-2612-8. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
31 | Narottam Chand, Ramesh C. Joshi, Manoj Misra |
Efficient Cache Replacement in Mobile Environment Using Data Profit. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPADS (1) ![In: 12th International Conference on Parallel and Distributed Systems, ICPADS 2006, Minneapolis, Minnesota, USA, July 12-15, 2006, pp. 203-212, 2006, IEEE Computer Society, 0-7695-2612-8. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
31 | Hao-Ping Hung, Ming-Syan Chen |
RESP: Shortest-Path-Based Cache Replacement in a Transcoding Proxy. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICME ![In: Proceedings of the 2006 IEEE International Conference on Multimedia and Expo, ICME 2006, July 9-12 2006, Toronto, Ontario, Canada, pp. 1145-1148, 2006, IEEE Computer Society, 1-4244-0367-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
31 | Harini Ramaprasad, Frank Mueller 0001 |
Bounding Preemption Delay within Data Cache Reference Patterns for Real-Time Tasks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Real Time Technology and Applications Symposium ![In: 12th IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS 2006), 4-7 April 2006, San Jose, California, USA, pp. 71-80, 2006, IEEE Computer Society, 0-7695-2516-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
31 | Kyusik Chung, Chang-Hyo Yu, Lee-Sup Kim |
Vertex cache of programmable geometry processor for mobile multimedia application. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: International Symposium on Circuits and Systems (ISCAS 2006), 21-24 May 2006, Island of Kos, Greece, 2006, IEEE, 0-7803-9389-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
31 | Manman Peng, Yuming Wang |
A Self-Tuning Algorithm for Managing Reconfigurable Cache. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDCAT ![In: Seventh International Conference on Parallel and Distributed Computing, Applications and Technologies (PDCAT 2006), 4-7 December 2006, Taipei, Taiwan, pp. 405-410, 2006, IEEE Computer Society, 0-7695-2736-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
31 | André Seznec, Roger Espasa |
Conflict-Free Accesses to Strided Vectors on a Banked Cache. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 54(7), pp. 913-196, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Vector microprocessor, strided vectors, conflict free access, L2 caches |
31 | Hitoshi Oi |
On the design of the local variable cache in a hardware translation-based java virtual machine. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCTES ![In: Proceedings of the 2005 ACM SIGPLAN/SIGBED Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES'05), Chicago, Illinois, USA, June 15-17, 2005, pp. 87-94, 2005, ACM, 1-59593-018-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
hardware-translation, memory hierarchy, java virtual machine |
31 | Soraya Kasnavi, Paul Berube, Vincent C. Gaudet, José Nelson Amaral |
A Multizone Pipelined Cache for IP Routing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NETWORKING ![In: NETWORKING 2005: Networking Technologies, Services, and Protocols; Performance of Computer and Communication Networks; Mobile and Wireless Communication Systems, 4th International IFIP-TC6 Networking Conference, Waterloo, Canada, May 2-6, 2005, Proceedings, pp. 574-585, 2005, Springer, 3-540-25809-4. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
IP Caching, Content Addressable Memory (CAM), IP lookup |
31 | Mirko Loghi, Paolo Azzoni, Massimo Poncino |
Tag Overflow Buffering: An Energy-Efficient Cache Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2005 Design, Automation and Test in Europe Conference and Exposition (DATE 2005), 7-11 March 2005, Munich, Germany, pp. 520-525, 2005, IEEE Computer Society, 0-7695-2288-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
31 | Boris Quaing, Jie Tao 0001, Wolfgang Karl |
YACO: A User Conducted Visualization Tool for Supporting Cache Optimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCC ![In: High Performance Computing and Communications, First International Conference, HPCC 2005, Sorrento, Italy, September 21-23, 2005, Proceedings, pp. 694-703, 2005, Springer, 3-540-29031-1. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
31 | Jun'ichi Tatemura |
Web Services Decomposition: edge Computing Architecture cache-friendly E-commerce Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DEEC ![In: 2005 International Workshop on Data Engineering Issues in E-Commerce (DEEC 2005), 9 April 2005, Tokyo, Japan, pp. 81-87, 2005, IEEE Computer Society, 0-7695-2401-X. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
31 | Claudiu Cobârzan |
Dynamic Proxy-Cache Multiplication Inside LANs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par 2005, Parallel Processing, 11th International Euro-Par Conference, Lisbon, Portugal, August 30 - September 2, 2005, Proceedings, pp. 890-900, 2005, Springer, 3-540-28700-0. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
31 | Eriko Nurvitadhi, Nirut Chalainanont, Shih-Lien Lu |
Characterization of L3 cache behavior of SPECjAppServer2002 and TPC-C. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 19th Annual International Conference on Supercomputing, ICS 2005, Cambridge, Massachusetts, USA, June 20-22, 2005, pp. 12-20, 2005, ACM, 1-59593-167-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
L3 characterization, application server and OLTP, emulator |
31 | Jie Tao 0001, Wolfgang Karl |
CacheIn: A Toolset for Comprehensive Cache Inspection. ![Search on Bibsonomy](Pics/bibsonomy.png) |
International Conference on Computational Science (2) ![In: Computational Science - ICCS 2005, 5th International Conference, Atlanta, GA, USA, May 22-25, 2005, Proceedings, Part II, pp. 174-181, 2005, Springer, 3-540-26043-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
31 | In-Chul Hwang, Hanjo Jung, Seung Ryoul Maeng, Jung Wan Cho |
Design and Implementation of the Home-Based Cooperative Cache for PVFS. ![Search on Bibsonomy](Pics/bibsonomy.png) |
International Conference on Computational Science (3) ![In: Computational Science - ICCS 2005, 5th International Conference, Atlanta, GA, USA, May 22-25, 2005, Proceedings, Part III, pp. 407-414, 2005, Springer, 3-540-26044-7. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
31 | Mazen Kharbutli, Yan Solihin |
Counter-Based Cache Replacement Algorithms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 23rd International Conference on Computer Design (ICCD 2005), 2-5 October 2005, San Jose, CA, USA, pp. 61-68, 2005, IEEE Computer Society, 0-7695-2451-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
31 | Pavel Tvrdík, Ivan Simecek |
A New Diagonal Blocking Format and Model of Cache Behavior for Sparse Matrices. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PPAM ![In: Parallel Processing and Applied Mathematics, 6th International Conference, PPAM 2005, Poznan, Poland, September 11-14, 2005, Revised Selected Papers, pp. 164-171, 2005, Springer, 3-540-34141-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
31 | Tay Teng Tiow, Zhu Xiaoping |
A runtime auto scalable power-efficient instruction-cache design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (5) ![In: International Symposium on Circuits and Systems (ISCAS 2005), 23-26 May 2005, Kobe, Japan, pp. 5270-5273, 2005, IEEE, 0-7803-8834-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
31 | Min-wuk Lee, Byeong-Gyu Nam, Ju-Ho Sohn, Namjun Cho, Hyejung Kim, Kwanho Kim, Hoi-Jun Yoo |
A fixed-point 3D graphics library with energy-efficient cache architecture for mobile multimedia systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (5) ![In: International Symposium on Circuits and Systems (ISCAS 2005), 23-26 May 2005, Kobe, Japan, pp. 4602-4605, 2005, IEEE, 0-7803-8834-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
31 | Lakshmish Ramaswamy, Ling Liu 0001, Arun Iyengar |
Cache Clouds: Cooperative Caching of Dynamic Documents in Edge Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDCS ![In: 25th International Conference on Distributed Computing Systems (ICDCS 2005), 6-10 June 2005, Columbus, OH, USA, pp. 229-238, 2005, IEEE Computer Society, 0-7695-2331-5. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
31 | Rohan Samarasinghe, Yoshihiro Yasutake, Takaichi Yoshida |
Optimizing the Access Performance and Data Freshness of Distributed Cache Objects Considering User Access Pattern. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AINA ![In: 19th International Conference on Advanced Information Networking and Applications (AINA 2005), 28-30 March 2005, Taipei, Taiwan, pp. 325-328, 2005, IEEE Computer Society, 0-7695-2249-1. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
31 | Kaustubh Patil, Kiran Seth, Frank Mueller 0001 |
Compositional static instruction cache simulation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCTES ![In: Proceedings of the 2004 ACM SIGPLAN/SIGBED Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES'04), Washington, DC, USA, June 11-13, 2004, pp. 136-145, 2004, ACM, 1-58113-806-7. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
scheduling, real-time systems, caches, worst-case execution time |
31 | Martin Schoeberl |
A Time Predictable Instruction Cache for a Java Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OTM Workshops ![In: On the Move to Meaningful Internet Systems 2004: OTM 2004 Workshops: OTM Confederated International Workshops and Posters, GADA, JTRES, MIOS, WORM, WOSE, PhDS, and INTEROP 2004, Agia Napa, Cyprus, October 25-29, 2004. Proceedings, pp. 371-382, 2004, Springer, 3-540-23664-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
31 | Hui Song, Guohong Cao |
Cache-Miss-Initiated Prefetch in Mobile Environments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Mobile Data Management ![In: 5th IEEE International Conference on Mobile Data Management (MDM 2004), 19-22 January 2004, Berkeley, CA, USA, pp. 370-, 2004, IEEE Computer Society, 0-7695-2070-7. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
31 | Kentaro Hamayasu, Vasily G. Moshnyaga |
Impact of Register-Cache Bandwidth Variation on Processor Performance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asia-Pacific Computer Systems Architecture Conference ![In: Advances in Computer Systems Architecture, 9th Asia-Pacific Conference, ACSAC 2004, Beijing, China, September 7-9, 2004, Proceedings, pp. 212-225, 2004, Springer, 3-540-23003-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
31 | Jung-Wook Park, Gi-Ho Park, Sung-Bae Park, Shin-Dug Kim |
Power-Aware Deterministic Block Allocation for Low-Power Way-Selective Cache Structure. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 22nd IEEE International Conference on Computer Design: VLSI in Computers & Processors (ICCD 2004), 11-13 October 2004, San Jose, CA, USA, Proceedings, pp. 42-47, 2004, IEEE Computer Society, 0-7695-2231-9. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
31 | John P. Sustersic, Ali R. Hurson |
A Quality of Service (QoS) Implementation of Internet Cache Coherence. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AINA (1) ![In: 18th International Conference on Advanced Information Networking and Applications (AINA 2004), 29-31 March 2004, Fukuoka, Japan, pp. 41-47, 2004, IEEE Computer Society, 0-7695-2051-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
31 | Bryan Roger Buck, Jeffrey K. Hollingsworth |
Data Centric Cache Measurement on the Intel ltanium 2 Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SC ![In: Proceedings of the ACM/IEEE SC2004 Conference on High Performance Networking and Computing, 6-12 November 2004, Pittsburgh, PA, USA, CD-Rom, pp. 58, 2004, IEEE Computer Society, 0-7695-2153-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
31 | Elias Leontiadis, Vassilios V. Dimakopoulos, Evaggelia Pitoura |
Cache Updates in a Peer-to-Peer Network of Mobile Agents. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Peer-to-Peer Computing ![In: 4th International Conference on Peer-to-Peer Computing (P2P 2004), 15-17 August 2004, Zurich, Switzerland, pp. 10-17, 2004, IEEE Computer Society, 0-7695-2156-8. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
31 | Amit Agarwal 0001, Kaushik Roy 0001, T. N. Vijaykumar |
Exploring High Bandwidth Pipelined Cache Architecture for Scaled Technology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2003 Design, Automation and Test in Europe Conference and Exposition (DATE 2003), 3-7 March 2003, Munich, Germany, pp. 10778-10783, 2003, IEEE Computer Society, 0-7695-1870-2. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
31 | Jiwei Lu, Howard Chen 0002, Rao Fu, Wei-Chung Hsu, Bobbie Othmer, Pen-Chung Yew, Dong-yuan Chen |
The Performance of Runtime Data Cache Prefetching in a Dynamic Optimization System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 36th Annual International Symposium on Microarchitecture, San Diego, CA, USA, December 3-5, 2003, pp. 180-190, 2003, IEEE Computer Society, 0-7695-2043-X. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
Linux, Intel |
31 | Kim M. Hazelwood, Michael D. Smith 0001 |
Generational Cache Management of Code Traces in Dynamic Optimization Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 36th Annual International Symposium on Microarchitecture, San Diego, CA, USA, December 3-5, 2003, pp. 169-179, 2003, IEEE Computer Society, 0-7695-2043-X. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
31 | Hemendra Singh Negi, Tulika Mitra, Abhik Roychoudhury |
Accurate estimation of cache-related preemption delay. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CODES+ISSS ![In: Proceedings of the 1st IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2003, Newport Beach, CA, USA, October 1-3, 2003, pp. 201-206, 2003, ACM, 1-58113-742-7. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
caches, multitasking, formal analysis, preemption |
31 | E. Allen Emerson, Vineet Kahlon |
Rapid Parameterized Model Checking of Snoopy Cache Coherence Protocols. ![Search on Bibsonomy](Pics/bibsonomy.png) |
TACAS ![In: Tools and Algorithms for the Construction and Analysis of Systems, 9th International Conference, TACAS 2003, Held as Part of the Joint European Conferences on Theory and Practice of Software, ETAPS 2003, Warsaw, Poland, April 7-11, 2003, Proceedings, pp. 144-159, 2003, Springer, 3-540-00898-5. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
31 | Hyokyung Bahn, Sam H. Noh |
Characterization of Web Reference Behavior Revisited: Evidence for Dichotomized Cache Management. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICOIN ![In: Information Networking, Networking Technologies for Enhanced Internet Services International Conference, ICOIN 2003, Cheju Island, Korea, February 12-14, 2003, Revised Selected Papers, pp. 1018-1027, 2003, Springer, 3-540-40827-4. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
31 | Zhi Zheng 0007, Tony K. Y. Chan |
Optimized Neighbour Prefetch and Cache for Client-server Based Walkthrough. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CW ![In: 2nd International Conference on Cyberworlds (CW 2003), 3-5 December 2003, Singapore, pp. 143-150, 2003, IEEE Computer Society, 0-7695-1922-9. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
31 | Gerth Stølting Brodal, Rolf Fagerberg |
Funnel Heap - A Cache Oblivious Priority Queue. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISAAC ![In: Algorithms and Computation, 13th International Symposium, ISAAC 2002 Vancouver, BC, Canada, November 21-23, 2002, Proceedings, pp. 219-228, 2002, Springer, 3-540-00142-5. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
31 | Kinuko Yasuda |
Cache Cooperation for Clustered Disconnected Computers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPADS ![In: 9th International Conference on Parallel and Distributed Systems, ICPADS 2002, Taiwan, ROC, December 17-20, 2002, pp. 457-464, 2002, IEEE Computer Society, 0-7695-1760-9. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
31 | Koji Inoue, Vasily G. Moshnyaga, Kazuaki J. Murakami |
A Low Energy Set-Associative I-Cache with Extended BTB. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 20th International Conference on Computer Design (ICCD 2002), VLSI in Computers and Processors, 16-18 September 2002, Freiburg, Germany, Proceedings, pp. 187-, 2002, IEEE Computer Society, 0-7695-1700-5. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
31 | Huiyang Zhou, Mark C. Toburen, Eric Rotenberg, Thomas M. Conte |
Adaptive Mode Control: A Static-Power-Efficient Cache Design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE PACT ![In: 2001 International Conference on Parallel Architectures and Compilation Techniques (PACT 2001), 8-12 September 2001, Barcelona, Spain, pp. 61-70, 2001, IEEE Computer Society, 0-7695-1363-8. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
31 | José Aguilar 0001, Ernst L. Leiss |
A General Adaptive Cache Coherency-Replacement Scheme for Distributed Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IICS ![In: Innovative Internet Computing Systems, International Workshop IICS 2001, Ilmenau, Germany, June 21-22, 2001, Proceedings, pp. 116-125, 2001, Springer, 3-540-42275-7. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
31 | Hyunchul Kang, Sangmin Lim |
Bandwidth-Conserving Cache Validation Schemes in a Moblie Database System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Mobile Data Management ![In: Mobile Data Management, Second International Conference, MDM 2001, Hong Kong, China, January 8-10, 2001, Proceedings, pp. 121-132, 2001, Springer, 3-540-41454-1. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
31 | Siddhartha Chatterjee, Erin Parker, Philip J. Hanlon, Alvin R. Lebeck |
Exact Analysis of the Cache Behavior of Nested Loops. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the 2001 ACM SIGPLAN Conference on Programming Language Design and Implementation (PLDI), Snowbird, Utah, USA, June 20-22, 2001, pp. 286-297, 2001, ACM, 1-58113-414-2. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
31 | Hironori Komi, Antonio Ortega |
Analysis of Cache Efficiency in 2D Wavelet Transform. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICME ![In: Proceedings of the 2001 IEEE International Conference on Multimedia and Expo, ICME 2001, August 22-25, 2001, Tokyo, Japan, 2001, IEEE Computer Society, 0-7695-1198-8. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
31 | Lubomir Ivanov, Ramakrishna Nunna |
Modeling and verification of cache coherence protocols. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (5) ![In: Proceedings of the 2001 International Symposium on Circuits and Systems, ISCAS 2001, Sydney, Australia, May 6-9, 2001, pp. 129-132, 2001, IEEE, 0-7803-6685-9. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
31 | Yong Woon Park, Kun Hyo Baek, Ki-Dong Chung |
Reducing Network Traffic Using Two-layered Cache Servers for Continuous Media Data on the Internet. ![Search on Bibsonomy](Pics/bibsonomy.png) |
COMPSAC ![In: 24th International Computer Software and Applications Conference (COMPSAC 2000), 25-28 October 2000, Taipei, Taiwan, pp. 389-394, 2000, IEEE Computer Society, 0-7695-0792-1. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
VoD, continuous media, proxy caching |
31 | Jeffrey P. Bradford, Russell W. Quong |
An empirical study on how program layout affects cache miss rates. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMETRICS Perform. Evaluation Rev. ![In: SIGMETRICS Perform. Evaluation Rev. 27(3), pp. 28-42, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
31 | Ravi R. Iyer 0001, Laxmi N. Bhuyan |
Switch Cache: A Framework for Improving the Remote Memory Access Latency of CC-NUMA Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: Proceedings of the Fifth International Symposium on High-Performance Computer Architecture, Orlando, FL, USA, January 9-12, 1999, pp. 152-160, 1999, IEEE Computer Society, 0-7695-0004-8. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
31 | Chi-Hung Chi, Jun-Li Yuan |
Design Considerations of High Performance Data Cache with Prefetching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par '99 Parallel Processing, 5th International Euro-Par Conference, Toulouse, France, August 31 - September 3, 1999, Proceedings, pp. 1243-1250, 1999, Springer, 3-540-66443-2. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
31 | Srivatsan Srinivasan, Parminder Singh Chhabra, Praveen Kumar Jaini, Adnan Aziz, Lizy Kurian John |
Formal Verification of a Snoop-Based Cache Coherence Protocol Using Symbolic Model Checking. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 12th International Conference on VLSI Design (VLSI Design 1999), 10-13 January 1999, Goa, India, pp. 288-293, 1999, IEEE Computer Society, 0-7695-0013-7. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
31 | Edith Cohen, Balachander Krishnamurthy, Jennifer Rexford |
Evaluating Server-Assisted Cache Replacement in the Web. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ESA ![In: Algorithms - ESA '98, 6th Annual European Symposium, Venice, Italy, August 24-26, 1998, Proceedings, pp. 307-319, 1998, Springer, 3-540-64848-8. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
31 | Julio Sahuquillo, Ana Pont |
Impact of Reducing Miss Write Latencies in Multiprocessors with Two Level Cache. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EUROMICRO ![In: 24th EUROMICRO '98 Conference, Engineering Systems and Software for the Next Decade, 25-27 August 1998, Vesteras, Sweden, pp. 10333-10336, 1998, IEEE Computer Society, 0-8186-8646-4. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
31 | Yue Liu, David R. Kaeli |
Branch-Directed and Stride-Based Data Cache Prefetching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 1996 International Conference on Computer Design (ICCD '96), VLSI in Computers and Processors, October 7-9, 1996, Austin, TX, USA, Proceedings, pp. 225-230, 1996, IEEE Computer Society, 0-8186-7554-3. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
31 | Toni Juan, Tomás Lang, Juan J. Navarro |
The Difference-bit Cache. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: Proceedings of the 23rd Annual International Symposium on Computer Architecture, Philadelphia, PA, USA, May 22-24, 1996, pp. 114-120, 1996, ACM, 0-89791-786-3. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
31 | Lishing Liu |
Partial address directory for cache access. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 2(2), pp. 226-240, 1994. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
31 | Todd E. Rockoff |
SIMD Instruction Cache. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SPAA ![In: Proceedings of the 6th Annual ACM Symposium on Parallel Algorithms and Architectures, SPAA '94, Cape May, New Jersey, USA, June 27-29, 1994, pp. 67-75, 1994, ACM, 0-89791-671-9. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
31 | David F. Bacon, Jyh-Herng Chow, Dz-Ching Ju, Kalyan Muthukumar, Vivek Sarkar |
A compiler framework for restructuring data declarations to enhance cache and TLB effectiveness. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CASCON ![In: Proceedings of the 1994 Conference of the Centre for Advanced Studies on Collaborative Research, October 31 - November 3, 1994, Toronto, Ontario, Canada, pp. 3, 1994, IBM. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP BibTeX RDF |
|
31 | Robert J. T. Morris |
Analysis of Superposition of Streams into a Cache Buffer. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMETRICS ![In: Proceedings of the 1993 ACM SIGMETRICS conference on Measurement and modeling of computer systems, Santa Clara, California, USA, May 10-14, 1993, pp. 229-235, 1993, ACM, 0-89791-580-1. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
|
31 | Tsang-Ling Sheu, Yuan-Bao Shieh, Woei Lin |
The selection of optimal cache lines for microprocessor-based controllers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 23rd Annual Workshop and Symposium on Microprogramming and Microarchitecture, 1990, Orlando, Florida, USA, November 27-29, 1990, pp. 183-192, 1990, ACM/IEEE, 0-89791-413-9. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP BibTeX RDF |
|
31 | Anant Agarwal, Mark Horowitz, John L. Hennessy |
An Analytical Cache Model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Comput. Syst. ![In: ACM Trans. Comput. Syst. 7(2), pp. 184-215, 1989. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
|
31 | Dominique Thiébaut, Harold S. Stone |
Footprints in the Cache. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Comput. Syst. ![In: ACM Trans. Comput. Syst. 5(4), pp. 305-329, 1987. The full citation details ...](Pics/full.jpeg) |
1987 |
DBLP DOI BibTeX RDF |
|
31 | Linda Turpin |
Motivation for and current work on copaging cache (abstract only). ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Conference on Computer Science ![In: Proceedings of the 13th ACM Annual Conference on Computer Science, New Orleans, Louisiana, USA, 1985, pp. 430, 1985, ACM, 0-89791-150-4. The full citation details ...](Pics/full.jpeg) |
1985 |
DBLP DOI BibTeX RDF |
|
31 | Yingwu Zhu, Yiming Hu |
Exploiting client caches to build large Web caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Supercomput. ![In: J. Supercomput. 39(2), pp. 149-175, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Hier-GD, Client cache, Latency gain, Infinite cache size, Cooperative proxy caching, Peer-to-peer, Proxy cache |
31 | Witawas Srisa-an, Myra B. Cohen, Yu Shang, Mithuna Soundararaj |
A self-adjusting code cache manager to balance start-up time and memory usage. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CGO ![In: Proceedings of the CGO 2010, The 8th International Symposium on Code Generation and Optimization, Toronto, Ontario, Canada, April 24-28, 2010, pp. 82-91, 2010, ACM, 978-1-60558-635-9. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
code cache, embedded systems, JIT |
31 | Rasmus Pagh, Zhewei Wei, Ke Yi 0001, Qin Zhang 0001 |
Cache-oblivious hashing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PODS ![In: Proceedings of the Twenty-Ninth ACM SIGMOD-SIGACT-SIGART Symposium on Principles of Database Systems, PODS 2010, June 6-11, 2010, Indianapolis, Indiana, USA, pp. 297-304, 2010, ACM, 978-1-4503-0033-9. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
hashing, cache-oblivious algorithms |
31 | Bo Zhang 0016, Binoy Ravindran |
Brief announcement: queuing or priority queuing? on the design of cache-coherence protocols for distributed transactional memory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PODC ![In: Proceedings of the 29th Annual ACM Symposium on Principles of Distributed Computing, PODC 2010, Zurich, Switzerland, July 25-28, 2010, pp. 75-76, 2010, ACM, 978-1-60558-888-9. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
distributed queuing, transactional memory, cache-coherence protocols |
31 | Miquel Moretó, Francisco J. Cazorla, Rizos Sakellariou, Mateo Valero |
Load balancing using dynamic cache allocation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Conf. Computing Frontiers ![In: Proceedings of the 7th Conference on Computing Frontiers, 2010, Bertinoro, Italy, May 17-19, 2010, pp. 153-164, 2010, ACM, 978-1-4503-0044-5. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
cmp architectures, load balancing, cache partitioning |
31 | Juan Castillo, Hector Posadas, Eugenio Villar, Marcos Martínez |
Fast instruction cache modeling for approximate timed HW/SW co-simulation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 20th ACM Great Lakes Symposium on VLSI 2009, Providence, Rhode Island, USA, May 16-18 2010, pp. 191-196, 2010, ACM, 978-1-4503-0012-4. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
performance estimation, cache modeling, electronic system level |
31 | Samarjit Chakraborty, Tulika Mitra, Abhik Roychoudhury, Lothar Thiele |
Cache-aware timing analysis of streaming applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Real Time Syst. ![In: Real Time Syst. 41(1), pp. 52-85, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Timing analysis, Instruction cache, Streaming applications |
31 | Dyer Rolán, Basilio B. Fraguela, Ramon Doallo |
Adaptive line placement with the set balancing cache. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: 42st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-42 2009), December 12-16, 2009, New York, New York, USA, pp. 529-540, 2009, ACM, 978-1-60558-798-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
performance, adaptivity, cache, balancing |
31 | Hyun-Yong Noh, Se-Won Oh, Shin-Dug Kim |
A multi-level elaborate least frequently/recently used buffer cache for flash storage systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICHIT ![In: Proceedings of the 2009 International Conference on Hybrid Information Technology, ICHIT 2009, Daejeon, Korea, August 27-29, 2009, pp. 34-41, 2009, ACM, 978-1-60558-662-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
cache, flash memory, buffer, SSD, flash translation layer, FTL, solid state drive |
31 | Peyman Afshani, Chris H. Hamilton, Norbert Zeh |
A general approach for cache-oblivious range reporting and approximate range counting. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SCG ![In: Proceedings of the 25th ACM Symposium on Computational Geometry, Aarhus, Denmark, June 8-10, 2009, pp. 287-295, 2009, ACM, 978-1-60558-501-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
data structures, memory hierarchies, range searching, cache-obliviousness |
31 | Andrew Herdrich, Ramesh Illikkal, Ravi R. Iyer 0001, Donald Newell, Vineet Chadha, Jaideep Moses |
Rate-based QoS techniques for cache/memory in CMP platforms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009, pp. 479-488, 2009, ACM, 978-1-60558-498-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
p-states, performance differentiation, t-states, cache, memory, rate control, qos, clock gating, frequency scaling, dvfs |
31 | Xiao Zhang, Sandhya Dwarkadas, Kai Shen |
Towards practical page coloring-based multicore cache management. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EuroSys ![In: Proceedings of the 2009 EuroSys Conference, Nuremberg, Germany, April 1-3, 2009, pp. 89-102, 2009, ACM, 978-1-60558-482-9. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
page coloring, resource management, multi-core, cache partitioning |
31 | Jianhua Feng, Guoliang Li 0001, Na Ta 0001 |
A Semantic Cache Framework for Secure XML Queries. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Comput. Sci. Technol. ![In: J. Comput. Sci. Technol. 23(6), pp. 988-997, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
XML, XPath, semantic cache, computer software |
31 | Ying Cai, Zhan Chen, Wallapak Tavanapong |
Caching collaboration and cache allocation in peer-to-peer video systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Multim. Tools Appl. ![In: Multim. Tools Appl. 37(2), pp. 117-134, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Peer-to-peer video services, File lookup, Caching collaboration, Cache allocation |
31 | Sung Woo Chung, Kevin Skadron |
On-Demand Solution to Minimize I-Cache Leakage Energy with Maintaining Performance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 57(1), pp. 7-24, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Low-power design, Microprocessors, Cache memories, Energy-aware systems |
31 | Wenzhong Li, Edward Chan, Yilin Wang, Daoxu Chen, Sanglu Lu |
Cache Placement Optimization in Hierarchical Networks: Analysis and Performance Evaluation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Networking ![In: NETWORKING 2008, Ad Hoc and Sensor Networks, Wireless Networks, Next Generation Internet , 7th International IFIP-TC6 Networking Conference, Singapore, May 5-9, 2008, Proceedings, pp. 385-396, 2008, Springer, 978-3-540-79548-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
hierarchical caching system, cache placement and replacement, cooperative caching |
31 | Haïdar Safa, Hassan Artail, Mirna Nahhas |
Enhancing cache invalidation in mobile environments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Mobility Conference ![In: Proceedings of the 5th International Conference on Mobile Technology, Applications, and Systems, Mobility Conference 2008, Yilan, Taiwan, September 10-12, 2008, pp. 1, 2008, ACM, 978-1-60558-089-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
mobile computing, MANET, caching, cache invalidation |
31 | Yen-Jen Chang |
Exploiting frequent opcode locality for power efficient instruction cache. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 18th ACM Great Lakes Symposium on VLSI 2008, Orlando, Florida, USA, May 4-6, 2008, pp. 399-402, 2008, ACM, 978-1-59593-999-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
frequent opcode locality, instruction cache, power-efficient |
31 | Onur Aciiçmez, Werner Schindler |
A Vulnerability in RSA Implementations Due to Instruction Cache Analysis and Its Demonstration on OpenSSL. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CT-RSA ![In: Topics in Cryptology - CT-RSA 2008, The Cryptographers' Track at the RSA Conference 2008, San Francisco, CA, USA, April 8-11, 2008. Proceedings, pp. 256-273, 2008, Springer, 978-3-540-79262-8. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Instruction-Cache Attack, MicroArchitectural Analysis, RSA, Stochastic Process, Side Channel Analysis, Montgomery Multiplication |
31 | Jaydeep Marathe, Frank Mueller 0001 |
Source-Code-Correlated Cache Coherence Characterization of OpenMP Benchmarks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 18(6), pp. 818-834, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
simulation, Cache memories, SMPs, program instrumentation, coherence protocols, dynamic binary rewriting |
31 | Onur Aciiçmez |
Yet another MicroArchitectural Attack: : exploiting I-Cache. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CSAW ![In: Proceedings of the 2007 ACM workshop on Computer Security Architecture, CSAW 2007, Fairfax, VA, USA, November 2, 2007, pp. 11-18, 2007, ACM, 978-1-59593-890-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
MicroArchitectural analysis, RSA, side channel analysis, instruction cache, Montgomery Multiplication, modular exponentiation |
31 | Jianhua Feng, Na Ta 0001, Yong Zhang 0002, Guoliang Li 0001 |
Exploit sequencing views in semantic cache to accelerate xpath query evaluation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WWW ![In: Proceedings of the 16th International Conference on World Wide Web, WWW 2007, Banff, Alberta, Canada, May 8-12, 2007, pp. 1337-1338, 2007, ACM, 978-1-59593-654-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
XML, XPath, query evaluation, semantic cache |
31 | Qing Li, Jianmin Zhao, Xinzhong Zhu |
Mobile Learning Support with Statistical Inference-Based Cache Management. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICWL ![In: Advances in Web Based Learning - ICWL 2007, 6th International Conference, Edinburgh, UK, August 15-17, 2007, Revised Papers, pp. 566-583, 2007, Springer, 978-3-540-78138-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
statistical caching, mobile devices, Mobile learning, data caching, cache management, mobile data management |
31 | Gustavo Girão, Bruno Cruz de Oliveira, Rodrigo Soares, Ivan Saraiva Silva |
Cache coherency communication cost in a NoC-based MPSoC platform. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SBCCI ![In: Proceedings of the 20th Annual Symposium on Integrated Circuits and Systems Design, SBCCI 2007, Copacabana, Rio de Janeiro, Brazil, September 3-6, 2007, pp. 288-293, 2007, ACM, 978-1-59593-816-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
cache coherence, MPSoC, NoC, directory |
|
|