The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "A-SSCC"( http://dblp.L3S.de/Venues/A-SSCC )

URL (DBLP): http://dblp.uni-trier.de/db/conf/asscc

Publication years (Num. hits)
2011 (103) 2012 (125) 2014 (97) 2015 (87) 2016 (91) 2017 (84) 2018 (91) 2019 (89) 2020 (42) 2021 (104) 2023 (100)
Publication types (Num. hits)
inproceedings(1002) proceedings(11)
Venues (Conferences, Journals, ...)
A-SSCC(1013)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
No Growbag Graphs found.

Results
Found 1013 publication records. Showing 1013 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Bo Wang 0020, Manupa Karunarathne, Aditi Kulkarni Mohite, Tulika Mitra, Li-Shiuan Peh HyCUBE: A 0.9V 26.4 MOPS/mW, 290 pJ/op, Power Efficient Accelerator for IoT Applications. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Toshifumi Uemura, Yuko Kitaji, Kazuki Fukuoka A 28nm fully digital voltage monitor with 16.5uV/°C accuracy and 0.8mV quantized error from -40 to 160°C for ISO26262 ASIL-D capable MCU. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Kyo Won Jin Memory Centric Computing, The Foundation of the Next Smart Society. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Cheng-Hsun Lu, Yi-Chung Wu, Chia-Hsiang Yang A 2.25 TOPS/W Fully-Integrated Deep CNN Learning Processor with On-Chip Training. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1C. Y. He, K. H. Tang, T. S. Chen, K. Y. Chang, C. H. Lin, K. Sato, Shyh-Jye Jou, P. H. Chen, H. M. Chen, B. D. Rong, K. Itoh Sub-ns Access Sub-mW/GHz 32 Kb SRAM with 0.45 V Cross-Point-5T Cell and Built-in Y_ Line. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1An'an Li, Yingtao Ding, Zipeng Chen, Wei Wang, Sijia Jiang, Shiyan Sun, Zhiming Chen 0001, Baoyong Chi A Fully Integrated 27.5-30.5 GHz 8-Element Phased-Array Transmit Front-end Module in 65 nm CMOS. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Bohui Xiao, Praveen Kumar Venkatachala, Yang Xu 0005, Ahmed ElShater, Calvin Yoji Lee, Spencer Leuenberger, Qadeer Ahmad Khan, Un-Ku Moon An 80mA Capacitor-Less LDO with 6.5µA Quiescent Current and No Frequency Compensation Using Adaptive-Deadzone Ring Amplifier. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Nahla T. Abou-El-Kheir, Ralph D. Mason, Mingze Li, Mustapha C. E. Yagoub A High-Performance Low Complexity All-Digital Fractional Clock Multiplier. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Tsu-Ming Liu, Chang-Hung Tsai, Shawn Shih, Chih-Kai Chang, Jia-Ying Lin, Wayne Hsieh, Yung-Chang Chang, Chi-Cheng Ju A 0.7mm2 8.54mW FocusNet Display LSI for Power Reduction on OLED Smart-phones. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Tzu-Hsiang Hsu, Yen-Kai Chen, Tai-Hsing Wen, Wei-Chen Wei, Yi-Ren Chen, Fu-Chun Chang, Ren-Shuo Liu, Chung-Chuan Lo, Kea-Tiong Tang, Meng-Fan Chang, Chih-Cheng Hsieh A 0.5V Real-Time Computational CMOS Image Sensor with Programmable Kernel for Always-On Feature Extraction. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Peng-Chang Huang, Tai-Haur Kuo A 100-pA Adaptive-FOCV MPPT Circuit with >99.6% Tracking Efficiency for Indoor Light Energy Harvesting. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Debapriya Sahu, Rittu Sachdev-Singh, Harikrishna Parthasarathy, Rohit Chatterjee, Brian P. Ginsburg, Daniel Breen, Karan Bhatia, Sudhir Polarouthu, Vimal Edayath, Bhupendra Sharma, Meghna Agarwal, Karthik Subburaj, Anjan Prasad, Shankar Ram, Cathy Chi, Ross Kulak, Vijay Rentala, Neeraj P. Nayak A 45nm 76-81GHz CMOS Radar Receiver for Automotive Applications. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Hye-Yoon Joo, Deog-Kyoon Jeong A Maximum-Eye-Tracking CDR with Biased Data-Level and Eye Slope Detector for Optimal Timing Adaptation. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Rushil K. Kumar, Hui Jiang 0007, Kofi A. A. Makinwa An Energy-Efficient BJT-Based Temperature-to-Digital Converter with ±0.13°C (3σ) Inaccuracy from -40 to 125°C. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Alexander Standaert, Patrick Reynaert A Packaged Fully Digital 390GHz Harmonic Outphasing Transmitter in 28nm CMOS. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Shun Suzuki, Kyoji Mizoguchi, Hikaru Watanabe, Toshiki Nakamura, Yoshiaki Deguchi, Keita Mizushina, Ken Takeuchi Privacy-Aware Data-Lifetime Control NAND Flash System for Right to be Forgotten with In-3D Vertical Cell Processing. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Ehsan Kargaran, Carl Bryant, Danilo Manstretta, Jon Strange, Rinaldo Castello A Sub-0.6V, 330 µW, 0.15 mm2 Receiver Front-End for Bluetooth Low Energy (BLE) in 22 nm FD-SOI with Zero External Components. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Pengfei Zhai, Xiong Zhou, Yan Cai 0010, Zheng Zhu, Fan Zhang, Zixiao Lin, Qiang Li 0021 A Multi-Slice VCO-based Quantizer for On-Chip Power Supply Noise Analysis Achieving 0.11 (mV)2/sqrt(MHz) Noise Floor. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Seungkyu Choi, Jaehyeong Sim, Myeonggu Kang, Yeongjae Choi, Hyeonuk Kim, Lee-Sup Kim A 47.4µJ/epoch Trainable Deep Convolutional Neural Network Accelerator for In-Situ Personalization on Smart Devices. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Makoto Ikeda, Tadayuki Ichihashi, Hiromitsu Awano 33us, 94uJ Optimal Ate Pairing Engine on BN Curve over 254b Prime Field in 65nm CMOS FDSOI. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1T. Nagateja, Shao-Qi Chen, Li-Cheng Chu, Ke-Horng Chen, Ying-Hsi Lin, Shian-Ru Lin, Tsung-Yen Tsai A Single-Inductor Triple-Output Converter with an Automatic Detection of DC or AC Energy Harvesting Source for Supplying 93% Efficiency and 0.05mV/mA Cross Regulation to Wearable Electronics. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Mau-Chung Frank Chang Millimeter-Wave System-on-Chip Applications from Space Explorations to Contactless Connectivity. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yuji Yano, Seiya Yoshida, Shintaro Izumi, Hiroshi Kawaguchi 0001, Tetsuya Hirose, Masaya Miyahara, Teruki Someya, Kenichi Okada, Ippei Akita, Yoshihiko Kurui, Hideyuki Tomizawa, Masahiko Yoshimoto An IoT Sensor Node SoC with Dynamic Power Scheduling for Sustainable Operation in Energy Harvesting Environment. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Xuefan Jin, Dong-Seok Kang, Youngjun Ko, Kee-Won Kwon, Jung-Hoon Chun A 4-GHz Sub-harmonically Injection-Locked Phase-Locked Loop with Self-Calibrated Injection Timing and Pulsewidth. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Jonathan Narinx, Robert Giterman, Andrea Bonetti, Nicolas Frigerio, Cosimo Aprile, Andreas Burg, Yusuf Leblebici A 24 kb Single-Well Mixed 3T Gain-Cell eDRAM with Body-Bias in 28 nm FD-SOI for Refresh-Free DSP Applications. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Lian Zhang, Tao Tang, Jeong Hoan Park, Jerald Yoo A 0.012 mm2, $1.5 \mathrm{G}\Omega$ ZIN Intrinsic Feedback Capacitor Instrumentation Amplifier for Bio-Potential Recording and Respiratory Monitoring. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Jilin Zhang, Hui Wu, Jinsong Wei, Shaojun Wei, Hong Chen An Asynchronous Reconfigurable SNN Accelerator With Event-Driven Time Step Update. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Biao Wang, Sai-Weng Sin, Seng-Pan U, Franco Maloberti, Rui Paulo Martins A 1.2V 86dB SNDR 500kHz BW Linear-Exponential Multi-Bit Incremental ADC Using Positive Feedback in 65nm CMOS. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Neha Kumari 0001, Shang-Hsien Yang, Ke-Horng Chen, Ying-Hsi Lin, Shian-Ru Lin, Tsung-Yen Tsai A CMOS Switched-Capacitor Boost Mode Envelope Tracking Regulator with 4% Efficiency Improvement at 7.7dB PAPR for 20MHz LTE Envelope Tracking RF Power Amplifiers. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yizhak Shifman, Yoel Krupnik, Udi Virobnik, Ahmad Khairi, Yosi Sanhedrai, Ariel Cohen 0001 A 1.64mW Differential Super Source-Follower Buffer with 9.7GHz BW and 43dB PSRR for Time-Interleaved ADC Applications in 10nm. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Takuji Miki, Makoto Nagata, Hiroki Sonoda, Noriyuki Miura, Takaaki Okidono, Yuuki Araga, Naoya Watanabe, Haruo Shimamoto, Katsuya Kikuchi A Si-Backside Protection Circuits Against Physical Security Attacks on Flip-Chip Devices. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Xiaofei Li, Fangyu Mao, Pyungwoo Yeon, Yan Lu 0002, Maysam Ghovanloo, Rui Paulo Martins A 200-MHz Wide Input Range CMOS Passive Rectifier with Active Bias Tunning. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Chun-Yu Lin 0002, Yu-Ting Hung, Tsung-Hsien Lin A 2.4-GHz 500-µW 370-fsrms Integrated Jitter Sub-Sampling Sub-Harmonically Injection-Locked PLL in 90-nm CMOS. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yuan Cheng Qian, Yen-Yu Chao, Shen-Iuan Liu A Sub-Sampling PLL with Robust Operation under Supply Interference and Short Re-Locking Time. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Junyoung Park, Hyungmin Gi, Seungchul Jung, Sang Joon Kim, Yoonmyung Lee A Conversion-Ratio-Insensitive High Efficiency Soft-Charging-Based SC DC-DC Boost Converter for Energy Harvesting in Miniature Sensor Systems. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Shenggao Li, Fulvio Spagna, Ji Chen, Xiaoqing Wang, Luke Tong, Sujatha Gowder, Wenyan Jia, Roan Nicholson, Sitaraman Iyer, Rui Song, Lily Li, Meng-hung Chen, Amanda Tran, Michael De Vita, Deepar Govindrajan, Marcus Pasquarella, Dave Bradley, Frank Verdico, Matt Duwe, Eric Lee, Michelle Wigton A Power and Area Efficient 2.5-16 Gbps Gen4 PCIe PHY in 10nm FinFET CMOS. Search on Bibsonomy A-SSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Sangyeop Lee, Kyoya Takano, Ruibing Dong, Shuhei Amakawa, Takeshi Yoshida, Minoru Fujishima A 37-GHz-Input Divide-by-36 Injection-Locked Frequency Divider with 1.6-GHz Lock Range. Search on Bibsonomy A-SSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Masum Hossain, Aurangozeb, Nhat Nguyen A Bimodal (NRZ/PAM-4) ISI Tolerant Timing Recovery with Adaptive DDJ Equalization. Search on Bibsonomy A-SSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Hsiang-Lin Chen, Sung-En Hsieh, Tzu-Hsiang Hsu, Chih-Cheng Hsieh A CMOS Imager for Reflective Pulse Oximeter with Motion Artifact and Ambient Interference Rejections. Search on Bibsonomy A-SSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Xinchao Shang, Weiwei Shan, Jiaming Xu, Minyi Lu, Yiming Xiang, Longxing Shi, Jun Yang 0006 A 0.46V-1.1V Transition-Detector with In-Situ Timing-Error Detection and Correction Based on Pulsed-Latch Design in AES Accelerator. Search on Bibsonomy A-SSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Juncheng Wang, Xuefeng Chen 0004, Shang Hu, Yaxin Cai, Rui Bai 0001, Xin Wang, Yuanxi Zhang, Shenglong Zhuo, Chang Liu, Bozhi Yin, Jianxu Ma, Hao Yan, Jiangao Xuan, Milton Lu, Tao Xia, Nan Qi, Patrick Yin Chiang A Fully-Integrated 25Gb/s Low-Noise TIA+CDR Optical Receiver designed in 40nm-CMOS. Search on Bibsonomy A-SSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Masoud Seifaei, Daniel DeDorigo, David Ingvar Fleig, Matthias Kuhl, Ute Zschieschang, Hagen Klauk, Yiannos Manoli Stable, Self-Biased and High-Gain Organic Amplifiers with Reduced Parameter Variation Effect. Search on Bibsonomy A-SSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Yung-Hui Chung, Chia-Yi Hu, Che-Wei Chang A 38-mW 7-bit 5-GS/s Time-Interleaved SAR ADC with Background Skew Calibration. Search on Bibsonomy A-SSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Stevo Bailey, Jaeduk Han, Paul Rigge, Richard Lin, Eric Chang, Howard Mao, Zhongkai Wang, Chick Markley, Adam M. Izraelevitz, Angie Wang, Nathan Narevsky, Woo-Rham Bae, Steve Shauck, Sergio Montano, Justin Norsworthy, Munir Razzaque, Wen Hau Ma, Akalu Lentiro, Matthew Doerflein, Darin Heckendorn, Jim McGrath, Franco DeSeta, Ronen Shoham, Mike Stellfox, Mark Snowden, Joseph Cole, Dan Fuhrman, Brian C. Richards, Jonathan Bachrach, Elad Alon, Borivoje Nikolic A Generated Multirate Signal Analysis RISC-V SoC in 16nm FinFET. Search on Bibsonomy A-SSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Matan Gal-Katziri, Ali Hajimiri A Sub-Picosecond Hybrid DLL for Large-Scale Phased Array Synchronization. Search on Bibsonomy A-SSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Il-Min Yi, Naoki Miura, Hiroyuki Fukuyama, Hideyuki Nosaka A 15.1-mW 6-GS/s 6-bit Flash ADC with Selectively Activated 8× Time-Domain Interpolation. Search on Bibsonomy A-SSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Luke R. Everson, Muqing Liu, Nakul Pande, Chris H. Kim A 104.8TOPS/W One-Shot Time-Based Neuromorphic Chip Employing Dynamic Threshold Error Correction in 65nm. Search on Bibsonomy A-SSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Jingcheng Tao, Chun-Huat Heng A 1.6-GHz 3.3-mW 1.5-MHz Wide Bandwidth ΔΣ Fractional-N PLL with a Single Path FIR Phase Noise Filtering. Search on Bibsonomy A-SSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Akitaka Hiratsuka, Akira Tsuchiya, Kenji Tanaka, Hiroyuki Fukuyama, Naoki Miura, Hideyuki Nosaka, Hidetoshi Onodera A Low Input Referred Noise and Low Crosstalk Noise 25 Gb/s Transimpedance Amplifier with Inductor-Less Bandwidth Compensation. Search on Bibsonomy A-SSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Yao-Sheng Hu, Li-Yu Huang, Hsin-Shu Chen A 0.6V 1.63fJ/c.-s. Detective Open-Loop Dynamic System Buffer for SAR ADC in Zero-Capacitor TDDI System. Search on Bibsonomy A-SSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Jianxi Wu, Zipeng Chen, Wei Zheng, Yibo Liu, Shufu Wang, Nan Qi, Baoyong Chi A 77-GHz Mixed-Mode FMCW Generator Based on a Vernier TDC with Dual Rising-Edge Fractional-Phase Detector. Search on Bibsonomy A-SSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Tong Fang, Runjiang Dou, Liyuan Liu, Jian Liu 0021, Nanjian Wu A 25 fps 32 × 24 Digital CMOS Terahertz Image Sensor. Search on Bibsonomy A-SSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Kai-Yu Hu, Yu-Sin Chen, Chien-Hung Tsai A Digital Multiphase Converter with Sensor-less Current and Thermal Balance Mechanism. Search on Bibsonomy A-SSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Kwuang-Han Chang, Chih-Cheng Hsieh A Calibration-Free 0.7-V 13-bit 10-MS/s Full-Analog SAR ADC with Continuous-Time Feedforward Cascaded (CTFC) Op-Amps. Search on Bibsonomy A-SSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Trang Le Dinh Dang, Dongkyu Seo, Jin-Woo Han, Jinsang Kim, Ik-Joon Chang A 28mn FD-SOI 4KB Radiation-hardened 12T SRAM Macro with 0.6 ~ 1V Wide Dynamic Voltage Scaling for Space Applications. Search on Bibsonomy A-SSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Minsoo Choi, Myungguk Lee, Byungsub Kim A 12-Gb/s AC-Coupled FFE TX With Adaptive Relaxed Impedance Matching Achieving Adaptation Range of 35-75Ω Z0 and 30-550Ω RRX. Search on Bibsonomy A-SSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Seuk Son, Hwanseok Yeo, Sigang Ryu, Jaeha Kim A 2× Blind Oversampling FSE Receiver with Combined Adaptive Equalization and Infinite-Range Timing Recovery. Search on Bibsonomy A-SSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Young-Ha Hwang, Yoonho Song, Jun-Eun Park, Deog-Kyoon Jeong A 0.6-to-1V 10k-to-100kHz BW 11.7b-ENOB Noise-Shaping SAR ADC for IoT sensor applications in 28-nm CMOS. Search on Bibsonomy A-SSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Li-De Chen, Yu-Ta Lu, Yu-Ling Hsiao, Bo-Hsiang Yang, Wei-Chi Chen, Chao-Tsung Huang A 95pJ/label Wide-Range Depth-Estimation Processor for Full-HD Light-Field Applications on FPGA. Search on Bibsonomy A-SSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Joung-Wook Moon, Hye-Sung Yoo, Hundai Choi, Il-Won Park, Seok-Yong Kang, Jun-Bae Kim, Haeyoung Chung, Kiho Kim, Dong-Hun Lee, Ki-Jae Song, Seok-Hun Hyun, Indal Song, Young-Soo Sohn, Yong-Ho Cho, Jung-Hwan Choi, Kwang-Il Park, Seong-Jin Jang An Enhanced Built-off-Test Transceiver with Wide-range, Self-calibration Engine for 3.2 Gb/s/pin DDR4 SDRAM. Search on Bibsonomy A-SSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Jan A. Angevare, Kofi A. A. Makinwa A 6800-μm2 Resistor-Based Temperature Sensor in 180-nm CMOS. Search on Bibsonomy A-SSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Mao-Ling Chiu, Tzu-Hsuan Yang, Tsung-Hsien Lin A Transient-Enhanced Constant On-Time Buck Converter with Light-Load Efficiency Optimization. Search on Bibsonomy A-SSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Shihai He, Fengxiong Peng, Linjian Xu, Hao Meng, Yongxue Qian A Compact High Efficiency and High Power Front-end Module for GSM/EDGE/TD-SCDMA/TD-LTE Applications in 0.13um CMOS. Search on Bibsonomy A-SSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Syed Muhammad Abubakar, Muhammad Rizwan Khan, Wala Saadeh, Muhammad Awais Bin Altaf A Wearable Auto-Patient Adaptive ECG Processor for Shockable Cardiac Arrhythmia. Search on Bibsonomy A-SSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Kai Xu, Jun Yin 0001, Pui-In Mak, Robert Bogdan Staszewski, Rui Paulo Martins A 2.4-GHz Single-Pin Antenna Interface RF Front-End with a Function-Reuse Single-MOS VCO-PA and a Push-Pull LNA. Search on Bibsonomy A-SSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Avish Kosari, Milad Moosavifar, David D. Wentzloff A 152μW -99dBm BPSK/16-QAM OFDM Receiver for LPWAN Applications. Search on Bibsonomy A-SSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Chih-Wen Lu, You-Gang Chang, Xing-Wei Huang, Jhih-Siou Cheng, Po-Yu Tseng, Chih-Hsien Chou A 10-Bit 1026-Channel Column Driver IC with Partially Segmented Piecewise Linear Digital-to-Analog Converters for Ultra-High-Definition TFT-LCDs with One Billion Color Display. Search on Bibsonomy A-SSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Jaewon Choi, Nam-Seog Kim, Juyoung Han, Thomas Byunghak Cho A 0.46-2.1 GHz Spurious and Oscillator-Pulling Free LO Generator for Cellular NB-IoT Transmitter with 23 dBm Integrated PAs in 28nm CMOS. Search on Bibsonomy A-SSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Keji Zhou, Xiaoyong Xue, Jianguo Yang, Xiaoxin Xu, Hangbing Lv, Mingyu Wang, Ming-e Jing, Wenjun Liu, Xiaoyang Zeng, Steve S. Chung, Jing Li, Ming Liu 0022 Nonvolatile Crossbar 2D2R TCAM with Cell Size of 16.3 F2 and K-means Clustering for Power Reduction. Search on Bibsonomy A-SSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Yao-Sheng Hu, Jhao-Huei Lin, Ding-Guo Lin, Kai-Yue Lin, Hsin-Shu Chen An 89.55dB-SFDR 179.6dB-FoMs 12-bit lMS/s SAR-Assisted SAR ADC with Weight-Split Compensation Calibration. Search on Bibsonomy A-SSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Jianming Zhao, Yuan Gao 0011 A 6.78-200 MHz Offset-Compensated Active Rectifier with Dynamic Logic Comparator for mm-size Wirelessly Powered Implants. Search on Bibsonomy A-SSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Pengcheng Xu 0002, Denis Flandre, David Bol Design of a 2.45-GHz RF Energy Harvester for SWIPT IoT smart sensors. Search on Bibsonomy A-SSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Kai-Hsin Chuang, Erik Bury, Robin Degraeve, Ben Kaczer, Dimitri Linten, Ingrid Verbauwhede A Physically Unclonable Function with 0% BER Using Soft Oxide Breakdown in 40nm CMOS. Search on Bibsonomy A-SSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Koichi Mitsunari, Jaehoon Yu, Masanori Hashimoto Hardware Architecture for Fast General Object Detection using Aggregated Channel Features. Search on Bibsonomy A-SSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Lu Lu 0013, Taegeun Yoo, Van Loi Le, Tony Tae-Hyoung Kim An Ultra-low Power 8T SRAM with Vertical Read Word Line and Data Aware Write Assist. Search on Bibsonomy A-SSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Shotaro Sugiyama, Hiromitsu Awano, Makoto Ikeda 31.3 μs/Signature-Generation 256-bit 픽p ECDSA Cryptoprocessor. Search on Bibsonomy A-SSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Chao-Jen Huang, Yao-Sheng Ma, Wen-Hau Yang, Yen-Ting Lin, Chun-Chieh Kuo, Ke-Horng Chen, Hsiao-Jung Liu, Pei-Shan Yu, Fang-Chih Chu, Ching-Ju Lin, Hong-Wen Huang, Kuo-Chih Hung, Yuan-Hua Chu, Ying-Hsi Lin, Suhwan Kim, Krishnan Ravichandran A 99.2% Tracking Accuracy Single-Inductor Quadruple-Input-Quadruple-Output Buck-Boost Converter Topology with Periodical Interval Perturbation and Observation MPPT. Search on Bibsonomy A-SSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Zhao Zhang 0004, Jincheng Yang, Liyuan Liu, Nan Qi, Peng Feng 0001, Jian Liu 0021, Nanjian Wu A Fast Auto-Frequency Calibration Technique for Wideband PLL with Wide Reference Frequency Range. Search on Bibsonomy A-SSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Chih-Lun Lo, Hao-Chung Cheng, Pei-Chun Liao, Yi-Lun Chen, Po-Hung Chen An 82.1%-Power-Efficiency Single-Inductor Triple-Source Quad-Mode Energy Harvesting Interface with Automatic Source Selection and Reversely Polarized Energy Recycling. Search on Bibsonomy A-SSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Cheng-Xin Xue, Wei-Cheng Zhao, Tzu-Hsien Yang, Yi-Ju Chen, Hiroyuki Yamauchi, Meng-Fan Chang A 28mn 320Kb TCAM Macro with Sub-0.8ns Search Time and 3.5+x Improvement in Delay-Area-Energy Product using Split-Controlled Single-Load 14T Cell. Search on Bibsonomy A-SSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1I-Che Ou, Jia-Ping Yang, Chia-Hung Liu, Kai-Jie Huang, Kun-Ju Tsai, Yu Lee, Yuan-Hua Chu, Yu-Te Liao A Wide-Range Capacitive DC-DC Converter with 2D-MPPT for Soil/Solar Energy Extraction. Search on Bibsonomy A-SSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Hongrui Luo, Quan Sun, Ruizhi Zhang 0002, Hong Zhang 0009 A 1-V 3.1-ppm/°C 0.8-μW Bandgap Reference with Piecewise Exponential Curvature Compensation. Search on Bibsonomy A-SSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Yoshihide Komatsu, Akinori Shinmyo, Masami Funabashi, Shuji Kato, Kazuya Hatooka, Kenji Tanaka, Mayuko Fujita, Kouichi Fukuda A 0.25-27Gb/s Wideband PAM4/NRZ Transceiver with Adaptive Power CDR for 8K System. Search on Bibsonomy A-SSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Tianyu Jia, Jie Gu 0001 A Fully-integrated LC-Oscillator Based Buck Regulator with Autonomous Resonant Switching for Low-Power Applications. Search on Bibsonomy A-SSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Wenning Jiang, Yan Zhu 0001, Chi-Hang Chan, Boris Murmann, Seng-Pan U, Rui Paulo Martins A 7b 2 GS/s Time-Interleaved SAR ADC with Time Skew Calibration Based on Current Integrating Sampler. Search on Bibsonomy A-SSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Nam Sung Kim Practical Challenges in Supporting Function in Memory. Search on Bibsonomy A-SSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Ye-Sing Luo, Hsing-Hung Lin, Shen-Iuan Liu A 13.56 MHz 88.7%-PCE Voltage Doubling Rectifier Using Adaptive Delay Time and Pulse-Width Control. Search on Bibsonomy A-SSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Sudhir Satpathy, Sanu Mathew, Vikram B. Suresh, Vinodh Gopal, James Guilford, Mark A. Anders 0001, Himanshu Kaul, Amit Agarwal 0001, Steven Hsu, Ram Krishnamurthy 0001 A 280mV 3.1pJ/code Huffman Decoder for DEFLATE Decompression Featuring Opportunistic Code Skip and 3-way Symbol Generation in 14nm Tri-gate CMOS. Search on Bibsonomy A-SSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Kunyang Liu, Yue Min, Xuan Yang, Hanfeng Sun, Hirofumi Shinohara A 373 F2 2D Power-Gated EE SRAM Physically Unclonable Function With Dark-Bit Detection Technique. Search on Bibsonomy A-SSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Taikun Ma, Zipeng Chen, Jianxi Wu, Wei Zheng, Shufu Wang, Nan Qi, Baoyong Chi A CMOS 76-81 GHz 2TX 3RX FMCW Radar Transceiver Based on Mixed-Mode PLL Chirp Generator. Search on Bibsonomy A-SSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Ren Usami, Takao Komiyama, Yasunori Chonan, Hiroyuki Yamaguchi, Koji Kotani Photovoltaic-Assisted Self-Vth-Cancellation CMOS RF Rectifier for Wide Power Range Operation. Search on Bibsonomy A-SSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1U. Fat Chio, Kuo-Chih Wen, Sai-Weng Sin, Chi-Seng Lam, Yan Lu 0002, Franco Maloberti, Rui Paulo Martins An Integrated DC-DC Converter with Segmented Frequency Modulation and Multiphase Co-Work Control for Fast Transient Recovery. Search on Bibsonomy A-SSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Chia-Tse Hung, Yu-Ping Huang, Wei-Zen Chen A 40 Gb/s PAM-4 Receiver with 2-Tap DFE Based on Automatically Non-Even Level Tracking. Search on Bibsonomy A-SSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1 IEEE Asian Solid-State Circuits Conference, A-SSCC 2018, Tainan, Taiwan, November 5-7, 2018 Search on Bibsonomy A-SSCC The full citation details ... 2018 DBLP  BibTeX  RDF
1Weijia Chen, Hui Wu, Shaojun Wei, Anping He, Hong Chen 0002 An Asynchronous Energy-Efficient CNN Accelerator with Reconfigurable Architecture. Search on Bibsonomy A-SSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Taegeun Yoo, Van Loi Le, Ju Eon Kim, Ngoc Le Ba, Kwang-Hyun Baek, Tony T. Kim A 137-μW Area-Efficient Real-Time Gesture Recognition System for Smart Wearable Devices. Search on Bibsonomy A-SSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Pranay Prabhat, Graham Knight, Supreet Jeloka, Sheng Yang, James Myers A bulk 65nm Cortex-M0+ SoC with All-Digital Forward Body Bias for 4.3X Subthreshold Speedup. Search on Bibsonomy A-SSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Mitsuhiko Igarashi, Yuuki Uchida, Yoshio Takazawa, Yasumasa Tsukamoto, Koji Shibutani, Koji Nii A Fully Standard-Cell Based On-Chip BTI and HCI Monitor with 6.2x BTI sensitivity and 3.6x HCI sensitivity at 7 nm Fin-FET Process. Search on Bibsonomy A-SSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Guenole Lallement, Fady Abouzeid, Thierry Di Gilio, Philippe Roche, Jean-Luc Autran A 140 nW, 32.768 kHz, 1.9 ppm/°C Leakage-Based Digitally Relocked Clock Reference with 0.1 ppm Long-Term Stability in 28nm FD-SOI. Search on Bibsonomy A-SSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Yi Kang AI Drives Domain Specific Processors. Search on Bibsonomy A-SSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 1013 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license