The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ARC"( http://dblp.L3S.de/Venues/ARC )

URL (DBLP): http://dblp.uni-trier.de/db/conf/arc

Publication years (Num. hits)
2006 (57) 2007 (39) 2008 (39) 2009 (46) 2010 (46) 2011 (41) 2012 (36) 2013 (34) 2014 (40) 2015 (51) 2016 (32) 2017 (29) 2018 (60) 2019 (29) 2020 (30) 2021 (26) 2022-2023 (42) 2024 (22)
Publication types (Num. hits)
inproceedings(680) proceedings(19)
Venues (Conferences, Journals, ...)
ARC(699)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 94 occurrences of 69 keywords

Results
Found 699 publication records. Showing 699 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Vasileios Tsoutsouras, Sotirios Xydis, Dimitrios Soudris, Leonidas Lymperopoulos SWAN-iCARE Project: On the Efficiency of FPGAs Emulating Wearable Medical Devices for Wound Management and Monitoring. Search on Bibsonomy ARC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Peter Figuli, Carsten Tradowsky, Jose Martinez, Harry Sidiropoulos, Kostas Siozios, Holger Stenschke, Dimitrios Soudris, Jürgen Becker 0001 A Novel Concept for Adaptive Signal Processing on Reconfigurable Hardware. Search on Bibsonomy ARC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Kentaro Sano, Dimitrios Soudris, Michael Hübner 0001, Pedro C. Diniz (eds.) Applied Reconfigurable Computing - 11th International Symposium, ARC 2015, Bochum, Germany, April 13-17, 2015, Proceedings Search on Bibsonomy ARC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Dionysios Diamantopoulos, Sotirios Xydis, Kostas Siozios, Dimitrios Soudris Dynamic Memory Management in Vivado-HLS for Scalable Many-Accelerator Architectures. Search on Bibsonomy ARC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Stephan Nolting, Guillermo Payá Vayá, Florian Giesemann, Holger Blume Exploring Dynamic Reconfigurable CORDIC Co-Processors Tightly Coupled with a VLIW-SIMD Soft-Processor Architecture. Search on Bibsonomy ARC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Michael Metzner, Jesus Lizarraga, Christophe Bobda Architecture Virtualization for Run-Time Hardware Multithreading on Field Programmable Gate Arrays. Search on Bibsonomy ARC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Zeyad Aklah, David Andrews 0001 A Flexible Multilayer Perceptron Co-processor for FPGAs. Search on Bibsonomy ARC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Lucas A. Tambara, Felipe Almeida, Paolo Rech, Fernanda Lima Kastensmidt, Giovanni Bruni, Christopher Frost 0002 Measuring Failure Probability of Coarse and Fine Grain TMR Schemes in SRAM-based FPGAs Under Neutron-Induced Effects. Search on Bibsonomy ARC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Xerach Peña, Fernando Rincón, Julio Dondo, Julián Caba, Juan Carlos López 0001 Run-Time Partial Reconfiguration Simulation Framework Based on Dynamically Loadable Components. Search on Bibsonomy ARC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Philipp Gorski, Tim Wegner, Dirk Timmermann Centralized and Software-Based Run-Time Traffic Management Inside Configurable Regions of Interest in Mesh-Based Networks-on-Chip. Search on Bibsonomy ARC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Kostas Siozios, Peter Figuli, Harry Sidiropoulos, Carsten Tradowsky, Dionysios Diamantopoulos, Konstantinos Maragos 0001, Shalina Percy Delicia, Dimitrios Soudris, Jürgen Becker 0001 TEAChER: TEach AdvanCEd Reconfigurable Architectures and Tools. Search on Bibsonomy ARC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Ernesto Villegas Castillo, Gabriele Miorandi, Davide Bertozzi, Jiang Chau Wang DyAFNoC: Dynamically Reconfigurable NoC Characterization Using a Simple Adaptive Deadlock-Free Routing Algorithm with a Low Implementation Cost. Search on Bibsonomy ARC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Markus Happe, Andreas Traber, Ariane Keller Preemptive Hardware Multitasking in ReconOS. Search on Bibsonomy ARC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Andreas Raptopoulos, Sotirios Xydis, Dimitrios Soudris Reconfigurable Computing for Analytics Acceleration of Big Bio-Data: The AEGLE Approach. Search on Bibsonomy ARC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Nele Mentens, Jochen Vandorpe, Jo Vliegen, An Braeken, Bruno da Silva 0001, Abdellah Touhafi, Alois Kern, Stephan Knappmann, Jens Rettkowski, Muhammed Al Kadi, Diana Göhringer, Michael Hübner 0001 DynamIA: Dynamic Hardware Reconfiguration in Industrial Applications. Search on Bibsonomy ARC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Anupam Chattopadhyay, Xiaolin Chen A Timing Driven Cycle-Accurate Simulation for Coarse-Grained Reconfigurable Architectures. Search on Bibsonomy ARC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Ekawat Homsirikamol, Kris Gaj Hardware Benchmarking of Cryptographic Algorithms Using High-Level Synthesis Tools: The SHA-3 Contest Case Study. Search on Bibsonomy ARC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Maikon Adiles Fernandez Bueno, Carlos R. P. Almeida Jr., José A. M. de Holanda, Eduardo Marques Reconfigurable Hardware Assist for Linux Process Scheduling in Heterogeneous Multicore SoCs. Search on Bibsonomy ARC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Bilal Habib, Jens-Peter Kaps, Kris Gaj Efficient SR-Latch PUF. Search on Bibsonomy ARC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1George Lentaris, Ioannis Stamoulias, Dionysios Diamantopoulos, Konstantinos Maragos 0001, Kostas Siozios, Dimitrios Soudris, Marcos Avilés Rodrigálvarez, Manolis I. A. Lourakis, Xenophon Zabulis, Ioannis Kostavelis, Lazaros Nalpantidis, Evangelos Boukas, Antonios Gasteratos SPARTAN/SEXTANT/COMPASS: Advancing Space Rover Vision via Reconfigurable Platforms. Search on Bibsonomy ARC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Toshihiro Hanawa, Yuetsu Kodama, Taisuke Boku, Hideharu Amano, Hitoshi Murai, Masayuki Umemura, Mitsuhisa Sato Towards Unification of Accelerated Computing and Interconnection For Extreme-Scale Computing. Search on Bibsonomy ARC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Giuseppe Massari, Edoardo Paone, Michele Scandale, Patrick Bellasi, Gianluca Palermo, Vittorio Zaccaria, Giovanni Agosta, William Fornaciari, Cristina Silvano Data Parallel Application Adaptivity and System-Wide Resource Management in Many-Core Architectures. Search on Bibsonomy ARC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Tassadaq Hussain, Oscar Palomar, Osman S. Ünsal, Adrián Cristal, Eduard Ayguadé, Mateo Valero, Amna Haider Stand-Alone Memory Controller for Graphics System. Search on Bibsonomy ARC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Ihsen Alouani, Mazen A. R. Saghir, Smaïl Niar ARABICA: A Reconfigurable Arithmetic Block for ISA Customization. Search on Bibsonomy ARC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Neil Scicluna, Christos-Savvas Bouganis FPGA-Based Parallel DBSCAN Architecture. Search on Bibsonomy ARC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Pascal Sasdrich, Tim Güneysu Efficient Elliptic-Curve Cryptography Using Curve25519 on Reconfigurable Devices. Search on Bibsonomy ARC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Chao Wang 0003, Xi Li 0003, Huizhen Zhang, Liang Shi, Xuehai Zhou Instruction Extension and Generation for Adaptive Processors. Search on Bibsonomy ARC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Umar Ibrahim Minhas, Samuel Bayliss, George A. Constantinides GPU vs FPGA: A Comparative Analysis for Non-standard Precision. Search on Bibsonomy ARC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Takashi Yoza, Minoru Watanabe Enhanced Radiation Tolerance of an Optically Reconfigurable Gate Array by Exploiting an Inversion/Non-inversion Implementation. Search on Bibsonomy ARC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jecel Mattos de Assumpção Jr., Merik Voswinkel, Eduardo Marques Adapting Processor Grain via Reconfiguration. Search on Bibsonomy ARC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Rui Policarpo Duarte, Christos-Savvas Bouganis A Unified Framework for Over-Clocking Linear Projections on FPGAs under PVT Variation. Search on Bibsonomy ARC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jie Li 0004, Amin Salighehdar, Narayan Ganesan Simulation of Complex Biochemical Pathways in 3D Process Space via Heterogeneous Computing Platform: Preliminary Results. Search on Bibsonomy ARC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Rinse Wester, Jan Kuper Design Space Exploration of a Particle Filter Using Higher-Order Functions. Search on Bibsonomy ARC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Kaoru Hamasaki, Keisuke Dohi, Yuichiro Shibata, Kiyoshi Oguri FPGA Implementation of a Video Based Abnormal Action Detection System with Real-Time Cubic Higher Order Local Auto-Correlation Analysis. Search on Bibsonomy ARC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1B. Chagun Basha, Stanislaw J. Piestrak, Sébastien Pillement Built-in 3-Dimensional Hamming Multiple-Error Correcting Scheme to Mitigate Radiation Effects in SRAM-Based FPGAs. Search on Bibsonomy ARC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Christopher Pöpper, Oliver Mischke, Tim Güneysu MicroACP - A Fast and Secure Reconfigurable Asymmetric Crypto-Processor - -Overhead Evaluation of Side-Channel Countermeasures-. Search on Bibsonomy ARC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yuhui Bai, Syed Zahid Ahmed, Bertrand Granado Accelerating Heap-Based Priority Queue in Image Coding Application Using Parallel Index-Aware Tree Access. Search on Bibsonomy ARC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Enrique Sedano, Daniel Ménard, Juan A. López Automated Data Flow Graph Partitioning for a Hierarchical Approach to Wordlength Optimization. Search on Bibsonomy ARC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Tobias Kenter, Gavin Vaz, Christian Plessl Partitioning and Vectorizing Binary Applications for a Reconfigurable Vector Computer. Search on Bibsonomy ARC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Ali Asghar, Husain Parvez Diffusion-Based Placement Algorithm for Reducing High Interconnect Demand in Congested Regions of FPGAs. Search on Bibsonomy ARC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1José Gabriel F. Coutinho, Oliver Pell, Eoghan O'Neill, Peter Sanders 0002, John McGlone, Paul Grigoras, Wayne Luk, Carmelo Ragusa HARNESS Project: Managing Heterogeneous Computing Resources for a Cloud Platform. Search on Bibsonomy ARC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Sebastian Meisner, Marco Platzner Thread Shadowing: Using Dynamic Redundancy on Hybrid Multi-cores for Error Detection. Search on Bibsonomy ARC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Eddie Hung, Jeffrey B. Goeders, Steven J. E. Wilton Faster FPGA Debug: Efficiently Coupling Trace Instruments with User Circuitry. Search on Bibsonomy ARC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Diana Goehringer, Marco Domenico Santambrogio, João M. P. Cardoso, Koen Bertels (eds.) Reconfigurable Computing: Architectures, Tools, and Applications - 10th International Symposium, ARC 2014, Vilamoura, Portugal, April 14-16, 2014. Proceedings Search on Bibsonomy ARC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jalal Khalifat, Ali Ebrahim, Tughrul Arslan An Efficient Implementation of the Adams-Hamilton's Demosaicing Algorithm in FPGAs. Search on Bibsonomy ARC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Gianluca Durelli, Marcello Coppola, Karim Djafarian, George Kornaros, Antonio Miele, Michele Paolino, Oliver Pell, Christian Plessl, Marco D. Santambrogio, Cristiana Bolchini SAVE: Towards Efficient Resource Management in Heterogeneous System Architectures. Search on Bibsonomy ARC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Ioannis Sourdis, Christos Strydis, Antonino Armato, Christos-Savvas Bouganis, Babak Falsafi, Georgi Nedeltchev Gaydadjiev, Sebastián Isaza, Alirad Malek, R. Mariani, Samuel N. Pagliarini, Dionisios N. Pnevmatikatos, Dhiraj K. Pradhan, Gerard K. Rauwerda, Robert M. Seepers, Rishad Ahmed Shafik, Georgios Smaragdos, Dimitris Theodoropoulos, Stavros Tzilis, Michalis Vavouras DeSyRe: On-Demand Adaptive and Reconfigurable Fault-Tolerant SoCs. Search on Bibsonomy ARC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Carsten Tradowsky, Martin Schreiber 0001, Malte Vesper, Ivan Domladovec, Maximilian Braun, Hans-Joachim Bungartz, Jürgen Becker 0001 Towards Dynamic Cache and Bandwidth Invasion. Search on Bibsonomy ARC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Pablo Calleja, Gabriel Caffarena, Ana Iriarte FPGA Design of Delay-Based Digital Effects for Electric Guitar. Search on Bibsonomy ARC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Zdravko Panjkov, Juergen Haas, Martin Aigner 0002, Herbert Rosmanith, Tianlun Liu, Roland Poppenreiter, Andreas Wasserbauer, Richard Hagelauer OCP2XI Bridge: An OCP to AXI Protocol Bridge. Search on Bibsonomy ARC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Alexander Wold, Andreas Agne, Jim Tørresen Relocatable Hardware Threads in Run-Time Reconfigurable Systems. Search on Bibsonomy ARC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Joonseok Park, Pedro C. Diniz Evaluating High-Level Program Invariants Using Reconfigurable Hardware. Search on Bibsonomy ARC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jürgen Becker 0001, Thomas Bruckschlögl, Oliver Oey, Timo Stripf, George Goulas, Nick Raptis, Christos Valouxis, Panayiotis Alefragis, Nikolaos S. Voros, Christos Gogos Profile-Guided Compilation of Scilab Algorithms for Multiprocessor Systems. Search on Bibsonomy ARC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Taimour Wehbe, Xiaofang Wang Efficient Buffer Design and Implementation for Wormhole Routers on FPGAs. Search on Bibsonomy ARC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Karim M. Abdellatif, Roselyne Chotin-Avot, Habib Mehrez FPGA-Based High Performance AES-GCM Using Efficient Karatsuba Ofman Algorithm. Search on Bibsonomy ARC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Dionisios N. Pnevmatikatos, Tobias Becker, Andreas Brokalakis, Georgi Nedeltchev Gaydadjiev, Wayne Luk, Kyprianos Papadimitriou, Ioannis Papaefstathiou, Danilo Pau, Oliver Pell, Christian Pilato, Marco D. Santambrogio, Donatella Sciuto, Dirk Stroobandt Effective Reconfigurable Design: The FASTER Approach. Search on Bibsonomy ARC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Karel Heyse, Dirk Stroobandt, Oliver Kadlcek, Oliver Pell On the Impact of Replacing a Low-Speed Memory Bus on the Maxeler Platform, Using the FPGA's Configuration Infrastructure. Search on Bibsonomy ARC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Andreas Engel 0003, Andreas Koch 0001 Hardware-Accelerated Data Compression in Low-Power Wireless Sensor Networks. Search on Bibsonomy ARC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Max Ferger, Michael Hübner 0001 Instruction Set Optimization for Application Specific Processors. Search on Bibsonomy ARC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Pascal Schleuniger, Sven Karlsson A Synthesizable Multicore Platform for Microwave Imaging. Search on Bibsonomy ARC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Anja Niedermeier, Jan Kuper, Gerard J. M. Smit A Dataflow Inspired Programming Paradigm for Coarse-Grained Reconfigurable Arrays. Search on Bibsonomy ARC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Kiran Kumar Matam, Viktor K. Prasanna Algorithm Design Methodology for Embedded Architectures. Search on Bibsonomy ARC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Shane T. Fleming, David B. Thomas Hardware Acceleration of Matrix Multiplication over Small Prime Finite Fields. Search on Bibsonomy ARC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Youenn Corre, Jean-Philippe Diguet, Loïc Lagadec, Dominique Heller, Dominique Blouin Fast Template-Based Heterogeneous MPSoC Synthesis on FPGA. Search on Bibsonomy ARC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Gabriel Nunez, Evan Tsai, Airs Lin, Aleksander Milshteyn, Garth Herman, Helen Boussalis, Charles Liu High Level FPGA Modeling of an JPEG Encoder. Search on Bibsonomy ARC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Vitor Gomes 0001, Haroldo Fraga de Campos Velho, Andrea Charão A Fast Poisson Solver for Hybrid Reconfigurable System. Search on Bibsonomy ARC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Geoffrey Ottoy, Bart Preneel, Jean-Pierre Goemaere, Lieven De Strycker Flexible Design of a Modular Simultaneous Exponentiation Core for Embedded Platforms. Search on Bibsonomy ARC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Qingshan Tang, Matthieu Tuna, Zied Marrakchi, Habib Mehrez Automatic Design Flow for Creating a Custom Multi-FPGA Board Netlist. Search on Bibsonomy ARC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Uli Kretzschmar, Armando Astarloa, Jesús Lázaro 0001 SEU Resilience of DES, AES and Twofish in SRAM-Based FPGA. Search on Bibsonomy ARC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Christopher J. Martinez Teaching SoC Using Video Games to Improve Student Engagement. Search on Bibsonomy ARC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Fakhar Anjam, Stephan Wong Configurable Fault-Tolerance for a Configurable VLIW Processor. Search on Bibsonomy ARC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Qi Guo, Chao Wang 0003, Xuehai Zhou, Xi Li 0003 Pipeline Optimization for Loops on Reconfigurable Platform. Search on Bibsonomy ARC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Stephan Wong Embedded Reconfigurable Architectures. Search on Bibsonomy ARC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Sam Skalicky, Sonia López, Marcin Lukowiak, James Letendre, Matthew Ryan Performance Modeling of Pipelined Linear Algebra Architectures on FPGAs. Search on Bibsonomy ARC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Li Zhou, Dongpei Liu, Botao Zhang, Hengzhu Liu Ant Colony Optimization for Application Mapping in Coarse-Grained Reconfigurable Array. Search on Bibsonomy ARC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Christos P. Antonopoulos, George Krikis, Nikolaos S. Voros Efficient Hardware Based Security Algorithm Implementation for WSN Medical Applications: The ARMOR Perspective. Search on Bibsonomy ARC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Nuno Miguel Cardanha Paulino, João Canas Ferreira, João M. P. Cardoso Architecture for Transparent Binary Acceleration of Loops with Memory Accesses. Search on Bibsonomy ARC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Tomohiro Ueno, Yoshiaki Kono, Kentaro Sano, Satoru Yamamoto Parameterized Design and Evaluation of Bandwidth Compressor for Floating-Point Data Streams in FPGA-Based Custom Computing. Search on Bibsonomy ARC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Philip Brisk, José Gabriel F. Coutinho, Pedro C. Diniz (eds.) Reconfigurable Computing: Architectures, Tools and Applications - 9th International Symposium, ARC 2013, Los Angeles, CA, USA, March 25-27, 2013. Proceedings Search on Bibsonomy ARC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Takuya Kuhara, Takaaki Miyajima, Masato Yoshimi, Hideharu Amano An FPGA Acceleration for the Kd-tree Search in Photon Mapping. Search on Bibsonomy ARC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Yuya Shirahashi, Minoru Watanabe Dependability-Increasing Method of Processors under a Space Radiation Environment. Search on Bibsonomy ARC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1James Arram, Kuen Hung Tsoi, Wayne Luk, Peiyong Jiang Hardware Acceleration of Genetic Sequence Alignment. Search on Bibsonomy ARC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Hiroki Nakahara, Tsutomu Sasao, Munehiro Matsuura An Architecture for IPv6 Lookup Using Parallel Index Generation Units. Search on Bibsonomy ARC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Mohammed A. S. Abdallah FPGA-Based Adaptive Data Acquisition Scheduler-on-Chip (SchoC) for Heterogeneous Signals. Search on Bibsonomy ARC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Vinod Pangracious, Zied Marrakchi, Emna Amouri, Habib Mehrez Performance Analysis and Optimization of High Density Tree-Based 3D Multilevel FPGA. Search on Bibsonomy ARC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Maciej Kurek, Tobias Becker, Wayne Luk Parametric Optimization of Reconfigurable Designs Using Machine Learning. Search on Bibsonomy ARC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jingfei Jiang, Rongdong Hu, Mikel Luján, Yong Dou Empirical Evaluation of Fixed-Point Arithmetic for Deep Belief Networks. Search on Bibsonomy ARC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Aurelio Morales-Villanueva, Ann Gordon-Ross HTR: On-Chip Hardware Task Relocation for Partially Reconfigurable FPGAs. Search on Bibsonomy ARC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1José Gabriel F. Coutinho, João M. P. Cardoso, Tiago Carvalho, Ricardo Nobre, Sujit Bhattacharya 0002, Pedro C. Diniz, Liam Fitzpatrick, Razvan Nane Deriving Resource Efficient Designs Using the REFLECT Aspect-Oriented Approach - (Extended Abstract). Search on Bibsonomy ARC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Eric Shun Fukuda, Hideyuki Kawashima, Hiroaki Inoue, Taro Fujii, Koichiro Furuta, Tetsuya Asai, Masato Motomura C-Based Adaptive Stream Processing on Dynamically Reconfigurable Hardware: A Case Study on Window Join. Search on Bibsonomy ARC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Debora Matos, Cezar Reinbrecht, Márcio Eduardo Kreutz, Gianluca Palermo, Luigi Carro, Altamiro Amadeu Susin Hierarchical and Multiple Switching NoC with Floorplan Based Adaptability. Search on Bibsonomy ARC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1George Goulas, Christos Gogos, Christos Valouxis, Panayiotis Alefragis, Nikolaos S. Voros Coarse Grained Parallelism Optimization for Multicore Architectures: The ALMA Project Approach. Search on Bibsonomy ARC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Thomas C. P. Chau, Xinyu Niu, Alison Eele, Wayne Luk, Peter Y. K. Cheung, Jan M. Maciejowski Heterogeneous Reconfigurable System for Adaptive Particle Filters in Real-Time Applications. Search on Bibsonomy ARC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jon T. Butler, Tsutomu Sasao Hardware Index to Set Partition Converter. Search on Bibsonomy ARC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Mariem Turki, Zied Marrakchi, Habib Mehrez, Mohamed Abid Iterative Routing Algorithm of Inter-FPGA Signals for Multi-FPGA Prototyping Platform. Search on Bibsonomy ARC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Mohamad Sofian Abu Talip, Takayuki Akamine, Yasunori Osana, Naoyuki Fujita, Hideharu Amano Cost Effective Implementation of Flux Limiter Functions Using Partial Reconfiguration. Search on Bibsonomy ARC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Fakhar Anjam, Quan Kong, Roel Seedorf, Stephan Wong A Run-Time Task Migration Scheme for an Adjustable Issue-Slots Multi-core Processor. Search on Bibsonomy ARC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Xuan You Tan, David Boland, George A. Constantinides FPGA Paranoia: Testing Numerical Properties of FPGA Floating Point IP-Cores. Search on Bibsonomy ARC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Ruining He, Guoqiang Liang, Yuchun Ma, Yu Wang 0002, Jinian Bian PDPR: Fine-Grained Placement for Dynamic Partially Reconfigurable FPGAs. Search on Bibsonomy ARC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Qiang Liu 0011, Wayne Luk Heterogeneous Systems for Energy Efficient Scientific Computing. Search on Bibsonomy ARC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 699 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license