The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "DATE"( http://dblp.L3S.de/Venues/DATE )

URL (DBLP): http://dblp.uni-trier.de/db/conf/date

Publication years (Num. hits)
1998 (173) 1999 (145) 2000 (146) 2001 (158) 2002 (229) 2003 (269) 2004 (343) 2005 (298) 2006 (268) 2007 (293) 2008 (299) 2009 (318) 2010 (350) 2011 (322) 2012 (309) 2013 (362) 2014 (373) 2015 (322) 2016 (308) 2017 (338) 2018 (312) 2019 (330) 2020 (325) 2021 (359) 2022 (283) 2023 (325)
Publication types (Num. hits)
inproceedings(7531) proceedings(26)
Venues (Conferences, Journals, ...)
DATE(7557)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 559 occurrences of 375 keywords

Results
Found 7557 publication records. Showing 7557 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Songran Liu, Mingsong Lv, Wei Zhang 0173, Xu Jiang 0004, Chuancai Gu, Tao Yang, Wang Yi 0001, Nan Guan Light Flash Write for Efficient Firmware Update on Energy-harvesting IoT Devices. Search on Bibsonomy DATE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Lorenzo Lamberti, Luca Bompani, Victor Javier Kartsch, Manuele Rusci, Daniele Palossi, Luca Benini Bio-inspired Autonomous Exploration Policies with CNN-based Object Detection on Nano-drones. Search on Bibsonomy DATE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Dewmini Sudara Marakkalage, Giovanni De Micheli Fanout-Bounded Logic Synthesis for Emerging Technologies - A Top-Down Approach. Search on Bibsonomy DATE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Hongyang Pan, Zhufei Chu Exact Synthesis Based on Semi-Tensor Product Circuit Solver. Search on Bibsonomy DATE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Behnaz Ranjbar, Florian Klemme, Paul R. Genssler, Hussam Amrouch, Jinhyo Jung, Shail Dave, Hwisoo So, Kyongwoo Lee, Aviral Shrivastava, Ji-Yung Lin, Pieter Weckx, Subrat Mishra, Francky Catthoor, Dwaipayan Biswas, Akash Kumar 0001 Learning-Oriented Reliability Improvement of Computing Systems From Transistor to Application Level. Search on Bibsonomy DATE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Shanquan Tian, Shayan Moini, Daniel E. Holcomb, Russell Tessier, Jakub Szefer A Practical Remote Power Attack on Machine Learning Accelerators in Cloud FPGAs. Search on Bibsonomy DATE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Abhimanyu Rajeshkumar Bambhaniya, Yangyu Chen, Anshuman, Rohan Banerjee, Tushar Krishna Proteus : HLS-based NoC Generator and Simulator. Search on Bibsonomy DATE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Halima Bouzidi, Mohanad Odema, Hamza Ouarnoughi, Mohammad Abdullah Al Faruque, Smaïl Niar HADAS: Hardware-Aware Dynamic Neural Architecture Search for Edge Performance Scaling. Search on Bibsonomy DATE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Zhao Yang, Qingshuang Sun Mitigating Heterogeneities in Federated Edge Learning with Resource- independence Aggregation. Search on Bibsonomy DATE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yungang Pan, Rouhollah Mahfouzi, Soheil Samii, Petru Eles, Zebo Peng Resource Optimization with 5G Configured Grant Scheduling for Real-Time Applications. Search on Bibsonomy DATE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Shixiong Kai, Chak-Wa Pui, Fangzhou Wang, Shougao Jiang, Bin Wang 0034, Yu Huang, Jianye Hao TOFU: A Two-Step Floorplan Refinement Framework for Whitespace Reduction. Search on Bibsonomy DATE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Zuodong Zhang, Meng Li 0004, Yibo Lin, Runsheng Wang, Ru Huang READ: Reliability-Enhanced Accelerator Dataflow Optimization using Critical Input Pattern Reduction. Search on Bibsonomy DATE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Benedikt Ohse, David Schreiber, Jürgen Kampe, Christopher Schneider Efficient Approximation of Performance Spaces for Analog Circuits via Multi-Objective Optimization. Search on Bibsonomy DATE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Nibedita Karmokar, Ramesh Harjani, Sachin S. Sapatnekar Minimum Unit Capacitance Calculation for Binary-Weighted Capacitor Arrays. Search on Bibsonomy DATE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Wei Zhong, Zhenhua Feng, Zhuolun He, Weimin Wang, Yuzhe Ma, Bei Yu 0001 Efficient Design Rule Checking with GPU Acceleration. Search on Bibsonomy DATE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Shailja Thakur, Baleegh Ahmad, Zhenxing Fan, Hammond Pearce, Benjamin Tan 0001, Ramesh Karri, Brendan Dolan-Gavitt, Siddharth Garg Benchmarking Large Language Models for Automated Verilog RTL Code Generation. Search on Bibsonomy DATE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Mahendra Rathor, Vishesh Mishra, Urbi Chatterjee Aiding to Multimedia Accelerators: A Hardware Design for Efficient Rounding of Binary Floating Point Numbers. Search on Bibsonomy DATE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Bernhard Lippmann, Joel Hatsch, Stefan Seidl, Detlef Houdeau, Niranjana Papagudi Subrahmanyam, Daniel Schneider, Malek Safieh, Anne Passarelli, Aliza Maftun, Michaela Brunner, Tim Music, Michael Pehl, Tauseef Siddiqui, Ralf Brederlow, Ulf Schlichtmann, Bjoern Driemeyer, Maurits Ortmanns, Robert Hesselbarth, Matthias Hiller VE-FIDES: Designing Trustworthy Supply Chains Using Innovative Fingerprinting Implementations. Search on Bibsonomy DATE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Ying Yuan, Zhipeng Tan, Shitong Wei, Lihua Yang, Wenjie Qi, Xuanzhi Wang, Cong Liu TPP: Accelerate Application Launch via Two-Phase Prefetching on Smartphone. Search on Bibsonomy DATE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yuyang Ye, Tinghuan Chen, Yifei Gao, Hao Yan 0002, Bei Yu 0001, Longxing Shi Fast and Accurate Wire Timing Estimation Based on Graph Learning. Search on Bibsonomy DATE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Wenqi Lou, Jiaming Qian, Lei Gong, Xuan Wang, Chao Wang 0003, Xuehai Zhou NAF: Deeper Network/Accelerator Co-Exploration for Customizing CNNs on FPGA. Search on Bibsonomy DATE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Sanghwi Kim, Hyejin Shin, Hyunkyu Kim Two-Stream Neural Network for Post-Layout Waveform Prediction. Search on Bibsonomy DATE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Zhiqiang Liu, Wenjian Yu Computing Effective Resistances on Large Graphs Based on Approximate Inverse of Cholesky Factor. Search on Bibsonomy DATE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Sree Ranjani Rajendran, Shams Tarek, Benjamin M. Hicks, Hadi Mardani Kamali, Farimah Farahmandi, Mark M. Tehranipoor HUnTer: Hardware Underneath Trigger for Exploiting SoC-level Vulnerabilities. Search on Bibsonomy DATE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Nora Sperling, Alex Bendrick, Dominik Stöhrmann, Rolf Ernst, Bryan Donyanavard, Florian Maurer 0003, Oliver Lenke, Anmol Surhonne, Andreas Herkersdorf, Walaa Amer, Caio Batista de Melo, Ping-Xiang Chen, Quang Anh Hoang, Rachid Karami, Biswadip Maity, Paul Nikolian, Mariam Rakka, Dongjoo Seo, Saehanseul Yi, Minjun Seo, Nikil D. Dutt, Fadi J. Kurdahi Information Processing Factory 2.0 - Self-awareness for Autonomous Collaborative Systems. Search on Bibsonomy DATE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Siting Liu 0002, Peiyu Liao, Rui Zhang, Zhitang Chen, Wenlong Lv, Yibo Lin, Bei Yu 0001 FastGR: Global Routing on CPU-GPU with Heterogeneous Task Graph Scheduler. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Leonard Masing, Tobias Dörr, Florian Schade, Jürgen Becker 0001, Georgios Keramidas, Christos P. Antonopoulos, Michail Mavropoulos, Efstratios Tiganourias, Vasilios I. Kelefouras, Konstantinos Antonopoulos, Nikos S. Voros, Umut Durak, Alexander Ahlbrecht, Wanja Zaeske, Christos Panagiotou, Dimitris Karadimas, Nico Adler, Andreas Sailer, Raphael Weber, Thomas Wilhelm 0005, Geza Nemeth, Fahad Siddiqui 0001, Rafiullah Khan, Vahid Garousi, Sakir Sezer, Victor Morales XANDAR: Exploiting the X-by-Construction Paradigm in Model-based Development of Safety-critical Systems. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Anna Bernasconi 0001, Valentina Ciriani, Marco Longhi On the Optimal OBDD Representation of 2-XOR Boolean Affine Spaces. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Zhe Lin 0007, Zike Yuan, Jieru Zhao, Wei Zhang 0012, Hui Wang, Yonghong Tian 0001 PowerGear: Early-Stage Power Estimation in FPGA HLS via Heterogeneous Edge-Centric GNNs. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Abhairaj Singh, Rajendra Bishnoi, Rajiv V. Joshi, Said Hamdioui Referencing-in-Array Scheme for RRAM-based CIM Architecture. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Zhuoran Li, Dan Zhao 0001 ThingNet: A Lightweight Real-time Mirai IoT Variants Hunter through CPU Power Fingerprinting. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yicong Shen, Lei Wang 0126, Yuanzhi Liang, Siran Li, Bo Jiang 0001 Shyper: An embedded hypervisor applying hierarchical resource isolation strategies for mixed-criticality systems. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Daniel Gerlinghoff, Zhehui Wang, Xiaozhe Gu, Rick Siow Mong Goh, Tao Luo 0014 A Resource-efficient Spiking Neural Network Accelerator Supporting Emerging Neural Encoding. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Dong-Zhen Lee, Ying-Yen Chen, Kai-Chiang Wu, Mango C.-T. Chao Improving Cell-Aware Test for Intra-Cell Short Defects. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Anthony Byrne, Yanni Pang, Allen Zou, Shripad Nadgowda, Ayse K. Coskun MicroFaaS: Energy-efficient Serverless on Bare-metal Single-board Computers. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Daniela Kaufmann, Paul Beame, Armin Biere, Jakob Nordström Adding Dual Variables to Algebraic Reasoning for Gate-Level Multiplier Verification. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Duan Shen, Yushen Zhang, Mengchu Li, Tsun-Ming Tseng, Ulf Schlichtmann Contamination-Free Switch Design and Synthesis for Microfluidic Large-Scale Integration. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Enrico Russo, Maurizio Palesi, Salvatore Monteleone, Davide Patti, Giuseppe Ascia, Vincenzo Catania MEDEA: A Multi-objective Evolutionary Approach to DNN Hardware Mapping. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Seyyed Ahmad Razavi, Hsin-Yu Ting, Tootiya Giyahchi, Eli Bozorgzadeh On Exploiting Patterns For Robust FPGA-based Multi-accelerator Edge Computing Systems. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Young Seo Lee, Gunjae Koo, Young-Ho Gong, Sung Woo Chung Stealth ECC: A Data-Width Aware Adaptive ECC Scheme for DRAM Error Resilience. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Zheng Wei, Xingjun Zhang, Jingbo Li, Zeyu Ji, Jia Wei BenQ: Benchmarking Automated Quantization on Deep Neural Network Accelerators. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Marc Solé Bonet, Leonidas Kosmidis SPARROW: A Low-Cost Hardware/Software Co-designed SIMD Microarchitecture for AI Operations in Space Processors. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Zhiyuan Lu, Jianhui Yue, Yifu Deng, Yifeng Zhu Accelerate Hardware Logging for Efficient Crash Consistency in Persistent Memory. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Michael H. Ostertag, Jason Ma, Tajana Rosing Remote Sensing with UAV and Mobile Recharging Vehicle Rendezvous. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Ryosuke Matsuo, Shin-ichi Minato Space and Power Reduction in BDD-based Optical Logic Circuits Exploiting Dual Ports. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Simone Ruffini, Kasim Sinan Yildirim, Davide Brunelli Emulation of Non-volatile Digital Logic for Batteryless Intermittent Computing. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Michael Raitza, Steffen Märcker, Shubham Rai, Akash Kumar 0001 Exploring Standard-Cell Designs for Reconfigurable Nanotechnologies: A Formal Approach. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Niklas Bruns, Vladimir Herdt, Eyck Jentzsch, Rolf Drechsler Cross-Level Processor Verification via Endless Randomized Instruction Stream Generation with Coverage-guided Aging. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Haoyu Yang, Kit Fung, Yuxuan Zhao, Yibo Lin, Bei Yu 0001 Mixed-Cell-Height Legalization on CPU-GPU Heterogeneous Systems. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Nibedita Karmokar, Arvind K. Sharma, Jitesh Poojary, Meghna Madhusudan, Ramesh Harjani, Sachin S. Sapatnekar Constructive Common-Centroid Placement and Routing for Binary-Weighted Capacitor Arrays. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Toru Koizumi 0001, Tomoki Nakamura, Yuya Degawa, Hidetsugu Irie, Shuichi Sakai, Ryota Shioya T-SKID: Predicting When to Prefetch Separately from Address Prediction. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1M. Lakshmi Varshika, Adarsha Balaji, Federico Corradi, Anup Das 0001, Jan Stuijt, Francky Catthoor Design of Many-Core Big Little µBrains for Energy-Efficient Embedded Neuromorphic Computing. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Maria Chiara Molteni, Vittorio Zaccaria, Valentina Ciriani ADD-based Spectral Analysis of Probing Security. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yanshul Sharma, Sanjay Moulik, Shounak Chakraborty 0001 RESTORE: Real-Time Task Scheduling on a Temperature Aware FinFET based Multicore. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Shayesteh Masoumian, Georgios N. Selimis, Rui Wang, Geert Jan Schrijen, Said Hamdioui, Mottaqiallah Taouil Reliability Analysis of FinFET-Based SRAM PUFs for 16nm, 14nm, and 7nm Technology Nodes. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Youngeun Kim, Hyunsoo Kim, Seijoon Kim, Sang Joon Kim, Priyadarshini Panda Gradient-based Bit Encoding Optimization for Noise-Robust Binary Memristive Crossbar. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Pengfei Huang, Chenghua Wang, Ke Chen 0018, Weiqiang Liu 0001 PAxC: A Probabilistic-oriented Approximate Computing Methodology for ANNs. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Martin Schönstedt, Ferdinand Brasser, Patrick Jauernig, Emmanuel Stapf, Ahmad-Reza Sadeghi SafeTEE: Combining Safety and Security on ARM-based Microcontrollers. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Zishen Wan, Aqeel Anwar, Abdulrahman Mahmoud, Tianyu Jia, Yu-Shun Hsiao, Vijay Janapa Reddi, Arijit Raychowdhury FRL-FI: Transient Fault Analysis for Federated Reinforcement Learning-Based Navigation Systems. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yvan Tortorella, Luca Bertaccini, Davide Rossi, Luca Benini, Francesco Conti 0001 RedMulE: A Compact FP16 Matrix-Multiplication Accelerator for Adaptive Deep Learning on RISC-V-Based Ultra-Low-Power SoCs. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Nael Fasfous, Lukas Frickenstein, Michael Neumeier, Manoj Rohit Vemparala, Alexander Frickenstein, Emanuele Valpreda, Maurizio Martina, Walter Stechele Mind the Scaling Factors: Resilience Analysis of Quantized Adversarially Robust CNNs. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Aleksandr Ometov, Jari Nurmi Towards Approximate Computing for Achieving Energy vs. Accuracy Trade-offs. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Kai Li, Junzhuo Zhou, Yuhang Wang, Junyi Luo, Zhengke Yang, Shuxin Yang, Wei Mao 0002, Mingqiang Huang, Hao Yu 0001 A Precision-Scalable Energy-Efficient Bit-Split-and-Combination Vector Systolic Accelerator for NAS-Optimized DNNs on Edge. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Vincenzo Maisto, Alessandro Cilardo A Pluggable Vector Unit for RISC-V Vector Extension. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Akshay Krishna Ramanathan, Sara Mahdizadeh-Shahri, Yi Xiao, Vijaykrishnan Narayanan Achieving Crash Consistency by Employing Persistent L1 Cache. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Giulia Meuli, Vinicius N. Possani, Rajinder Singh, Siang-Yun Lee, Alessandro Tempia Calvino, Dewmini Sudara Marakkalage, Patrick Vuillod, Luca G. Amarù, Scott Chase, Jamil Kawa, Giovanni De Micheli Majority-based Design Flow for AQFP Superconducting Family. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Shao-Chun Hung, Sanmitra Banerjee, Arjun Chaudhuri, Krishnendu Chakrabarty Graph Neural Network-based Delay-Fault Localization for Monolithic 3D ICs. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Shaolun Ruan, Yong Wang 0021, Hailong Jiang, Weijia Xu, Qiang Guan BatchLens: A Visualization Approach for Analyzing Batch Jobs in Cloud Systems. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Paolo Maistri, Jiayun Po A Low-Cost Methodology for EM Fault Emulation on FPGA. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Martin Kaiser, René Griessl, Nils Kucza, Carola Haumann, Lennart Tigges, Kevin Mika, Jens Hagemeyer, Florian Porrmann, Ulrich Rückert 0001, Micha vor dem Berge, Stefan Krupop, Mario Porrmann, Marco Tassemeier, Pedro Trancoso, Fareed Qararyah, Stavroula Zouzoula, António Casimiro, Alysson Neves Bessani, José Cecílio, Stefan Andersson, Oliver Brunnegård, Olof Eriksson, Roland Weiss, Franz Meierhöfer, Hans Salomonsson, Elaheh Malekzadeh, Daniel Ödman, Anum Khurshid, Pascal Felber, Marcelo Pasin, Valerio Schiavoni, Jämes Ménétrey, Karol Gugala, Piotr Zierhoffer, Eric Knauss, Hans-Martin Heyn VEDLIoT: Very Efficient Deep Learning in IoT. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Ayesha Siddique, Khaza Anuarul Hoque Is Approximation Universally Defensive Against Adversarial Attacks in Deep Neural Networks? Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Po-Yuan Chen, Fang-Yi Gu, Yu-Hong Huang, Ing-Chao Lin WRAP: Weight RemApping and Processing in RRAM-based Neural Network Accelerators Considering Thermal Effect. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Xinxin Wu, Zhihua Fan, Tianyu Liu, Wenming Li, Xiaochun Ye, Dongrui Fan LRP: Predictive output activation based on SVD approach for CNN s acceleration. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Dina Hussein, Aaryan Jain, Ganapati Bhat Robust Human Activity Recognition Using Generative Adversarial Imputation Networks. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Dongyun Kam, Jung Gyu Min, Jongho Yoon, Sunmean Kim, Seokhyeong Kang, Youngjoo Lee Design and Evaluation Frameworks for Advanced RISC-based Ternary Processor. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Hedi Fendri, Marco Macchetti, Jérôme Perrine, Mirjana Stojilovic A Deep-Learning Approach to Side-Channel Based CPU Disassembly at Design Time. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Saman Fröhlich, Rolf Drechsler LiM-HDL: HDL-Based Synthesis for In-Memory Computing. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Muhammad Rashedul Haq Rashed, Sumit Kumar Jha 0001, Fan Yao, Rickard Ewetz Hybrid Digital-Digital In-Memory Computing. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yu Yang, Di Liu 0002, Hui Fang, Yi-Xiong Huang, Ying Sun, Zhi-Yuan Zhang Once For All Skip: Efficient Adaptive Deep Neural Networks. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Ting Wu, Chin-Fu Nien, Kuang-Chao Chou, Hsiang-Yun Cheng RePAIR: A ReRAM-based Processing-in-Memory Accelerator for Indel Realignment. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Rongshang Li, Yingtian Tang, Qiquan Shi, Hui Mao, Lei Chen 0031, Jikun Jin, Peng Lu, Zhuo Cheng Accurate Probabilistic Miss Ratio Curve Approximation for Adaptive Cache Allocation in Block Storage Systems. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Zihao Deng, Michael Orshansky Variability-Aware Training and Self-Tuning of Highly Quantized DNNs for Analog PIM. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Theofilos Spyrou, Sarah A. El-Sayed, Engin Afacan, Luis A. Camuñas-Mesa, Bernabé Linares-Barranco, Haralampos-G. Stratigopoulos Reliability Analysis of a Spiking Neural Network Hardware Accelerator. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Eunsol Jeong, Heechun Park, Taewhan Kim A Systematic Removal of Minimum Implant Area Violations under Timing Constraint. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Sheng-Chun Kao, Michael Pellauer, Angshuman Parashar, Tushar Krishna DiGamma: Domain-aware Genetic Algorithm for HW-Mapping Co-optimization for DNN Accelerators. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Zhaoxiang Liu, Orlando Arias, Weimin Fu, Yier Jin, Xiaolong Guo Inter-IP Malicious Modification Detection through Static Information Flow Tracking. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Andrea Cossettini, Konstantin Taranov, Christian Vogt 0002, Michele Magno, Torsten Hoefler, Luca Benini A RDMA Interface for Ultra-Fast Ultrasound Data-Streaming over an Optical Link. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Weihua Xiao, Cheng Zhuo, Weikang Qian OPACT: Optimization of Approximate Compressor Tree for Approximate Multiplier. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Linus Witschen, Tobias Wiersema, Matthias Artmann, Marco Platzner MUSCAT: MUS-based Circuit Approximation Technique. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Dhwani Mehta, Nurun N. Mondol, Farimah Farahmandi, Mark M. Tehranipoor AIME: Watermarking AI Models by Leveraging Errors. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Linyan Mei, Huichu Liu, Tony F. Wu, Huseyin Ekin Sumbul, Marian Verhelst, Edith Beigné A Uniform Latency Model for DNN Accelerators with Diverse Architectures and Dataflows. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Guillem Cabo, Sergi Alcaide, Carles Hernández 0001, Pedro Benedicte, Francisco Bas, Fabio Mazzocchetti, Jaume Abella 0001 SafeSU-2: a Safe Statistics Unit for Space MPSoCs. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Zhilu Wang, Chao Huang 0015, Qi Zhu 0002 Efficient Global Robustness Certification of Neural Networks via Interleaving Twin-Network Encoding. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Tiago D. Perez, Marcio M. Gonçalves, Leonardo Gobatto, Marcelo Brandalero, José Rodrigo Azambuja, Samuel Pagliarini G-GPU: A Fully-Automated Generator of GPU-like ASIC Accelerators. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yangguang Cui, Kun Cao 0001, Junlong Zhou, Tongquan Wei HELCFL: High-Efficiency and Low-Cost Federated Learning in Heterogeneous Mobile-Edge Computing. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Kshitij Bhardwaj, James Diffenderfer, Bhavya Kailkhura, Maya B. Gokhale Unsupervised Test-Time Adaptation of Deep Neural Networks at the Edge: A Case Study. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Michael Pöhnl, Alban Tamisier, Tobias Blass A Middleware Journey from Microcontrollers to Microprocessors. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Dina G. Mahmoud, Samah Hussein, Vincent Lenders, Mirjana Stojilovic FPGA-to-CPU Undervolting Attacks. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Lea Schönberger, Susanne Graf, Selma Saidi, Dirk Ziegenbein, Arne Hamann Contract-Based Quality-of-Service Assurance in Dynamic Distributed Systems. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Fuping Li, Ying Wang 0001, Cheng Liu 0008, Huawei Li 0001, Xiaowei Li 0001 NoCeption: A Fast PPA Prediction Framework for Network-on-Chips Using Graph Neural Network. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 7557 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license